Mixed-signal integrated circuit

From Wikipedia, the free encyclopedia
(Redirected from Mixed-signal)
Mixed signal integrated circuit: the metal areas on the right-hand side are capacitors, on top of which are large output transistors; the left-hand side is occupied by the digital logic

A mixed-signal integrated circuit is any integrated circuit that has both analog circuits and digital circuits on a single semiconductor die.[1][2][3][4] Their usage has grown dramatically with the increased use of cell phones, telecommunications, portable electronics, and automobiles with electronics and digital sensors.

Overview [edit]

Integrated circuits (ICs) are generally classified as digital (e.g. a microprocessor) or analog (e.g. an operational amplifier). Mixed-signal ICs contain both digital and analog circuitry on the same chip, and sometimes embedded software. Mixed-signal ICs process both analog and digital signals together. For example, an analog-to-digital converter (ADC) is a typical mixed-signal circuit.

Mixed-signal ICs are often used to convert analog signals to digital signals so that digital devices can process them. For example, mixed-signal ICs are essential components for FM tuners in digital products such as media players, which have digital amplifiers. Any analog signal can be digitized using a very basic ADC, and the smallest and most energy efficient of these are mixed-signal ICs.

Mixed-signal ICs are more difficult to design and manufacture than analog-only or digital-only integrated circuits. For example, an efficient mixed-signal IC may have its digital and analog components share a common power supply. However, analog and digital components have very different power needs and consumption characteristics, which makes this a non-trivial goal in chip design.

Mixed-signal functionality involves both traditional active elements (like transistors) and well-performing passive elements (like coils, capacitors, and resistors) on the same chip. This requires additional modelling understanding and options from manufacturing technologies. High voltage transistors might be needed in the power management functions on a chip with digital functionality, possibly with a low-power CMOS processor system. Some advanced mixed-signal technologies may enable combining analog sensor elements (like pressure sensors or imaging diodes) on the same chip with an ADC.

Typically, mixed-signal ICs do not necessarily need the fastest digital performance. Instead, they need more mature models of active and passive elements for more accurate simulations and verification, such as for testability planning and reliability estimations. Therefore, mixed-signal circuits are typically realized with larger line widths than the highest speed and densest digital logic, and the implementation technologies can be two to four generations behind the latest digital-only implementation technologies. Additionally, mixed signal processing may need passive elements like resistors, capacitors, and coils, which may require specialized metal, dielectric layers, or similar adaptations of standard fabrication processes. Because of these specific requirements, mixed-signal ICs and digital ICs can have different manufacturers (known as foundries).

Applications[edit]

There are numerous applications of mixed-signal integrated circuits, such as in mobile phones, modern radio and telecommunication systems, sensor systems with on-chip standardized digital interfaces (including I2C, UART, SPI, or CAN), voice-related signal processing, aerospace and space electronics, the Internet of things (IoT), unmanned aerial vehicles (UAVs), and automotive and other electrical vehicles. Mixed-signal circuits or systems are typically cost-effective solutions, such as for building modern consumer electronics and in industrial, medical, measurement, and space applications.

Examples of mixed-signal integrated circuits include data converters using delta-sigma modulation, analog-to-digital converters and digital-to-analog converters using error detection and correction, and digital radio chips. Digitally controlled sound chips are also mixed-signal circuits. With the advent of cellular and network technology, this category now includes cellular telephone, software radio, and LAN and WAN router integrated circuits.

Design and development[edit]

Typically, mixed-signal chips perform some whole function or sub-function in a larger assembly, such as the radio subsystem of a cell phone, or the read data path and laser SLED control logic of a DVD player. Mixed-signal ICs often contain an entire system-on-a-chip. They may also contain on-chip memory blocks (like OTP), which complicates the manufacturing compared to analog ICs. A mixed-signal IC minimizes off-chip interconnects between digital and analog functionality in the system—typically reducing size and weight due to minimized packaging and a smaller module substrate—and therefore increases the reliability of the system.

Because of the use of both digital signal processing and analog circuitry, mixed-signal ICs are usually designed for a very specific purpose. Their design requires a high level of expertise and careful use of computer aided design (CAD) tools. There also exists specific design tools (like mixed-signal simulators) or description languages (like VHDL-AMS). Automated testing of the finished chips can also be challenging. Teradyne, Keysight, and Advantest are the major suppliers of the test equipment for mixed-signal chips.

There are several particular challenges of mixed-signal circuit manufacturing:

  • CMOS technology is usually optimal for digital performance, while bipolar junction transistors are usually optimal for analog performance. However, until the last decade, it was difficult to combine these cost-effectively or to design both in a single technology without serious performance compromises. The advent of technologies like high performance CMOS, BiCMOS, CMOS SOI, and SiGe have removed many of these former compromises.
  • Testing functional operation of mixed-signal ICs remains complex, expensive, and often is a "one-off" implementation task (meaning a lot of work is necessary for a product with a single, specific use).
  • Systematic design methods of analog and mixed-signal circuits are far more primitive than digital circuits. In general, analog circuit design cannot be automated to nearly the extent that digital circuit design can. Combining the two technologies multiplies this complication.
  • Fast-changing digital signals send noise to sensitive analog inputs. One path for this noise is substrate coupling. A variety of techniques are used to attempt to block or cancel this noise coupling, such as fully differential amplifiers,[5] P+ guard-rings,[6] differential topology, on-chip decoupling, and triple-well isolation.[7]

Variations[edit]

Mixed-signal devices are available as standard parts, but sometimes custom-designed application-specific integrated circuits (ASICs) are necessary. ASICs are designed for new applications, when new standards emerge, or when new energy source(s)[clarification needed] are implemented in the system. Due to their specialization, ASICs are usually only developed when production volumes are estimated to be high. The availability of ready-and-tested analog- and mixed-signal IP blocks from foundries or dedicated design houses has lowered the gap to realize mixed-signal ASICs.

There also exist mixed-signal field-programmable gate arrays (FPGAs) and microcontrollers.[note 1] In these, the same chip that handles digital logic may contain mixed-signal structures like analog-to-digital and digital-to-analog converter(s), operational amplifiers, or wireless connectivity blocks.[8] These mixed-signal FPGAs and microcontrollers are bridging the gap between standard mixed-signal devices, full-custom ASICs, and embedded software; they offer a solution during product development or when product volume is too low to justify an ASIC. However, they can have performance limitations, such as the resolution of the analog-to-digital converters, the speed of digital-to-analog conversion, or a limited number of inputs and outputs. Nevertheless, they can speed up the system architecture design, prototyping, and even production (at small and medium scales). Their usage also can be supported with development boards, development community, and possibly software support.

History[edit]

MOS switched-capacitor circuits[edit]

The metal–oxide–semiconductor field-effect transistor (MOSFET, or MOS transistor) was invented by Mohamed M. Atalla and Dawon Kahng at Bell Telephone Laboratories in 1959, and the MOS integrated circuit (MOS IC) chip was proposed soon after. However, MOS technology was initially overlooked by Bell because they did not find it practical for analog telephone applications, before it was commercialized by Fairchild and RCA for digital electronics such as computers.[9][10] MOS technology eventually became practical for telephony applications with the MOS mixed-signal integrated circuit, which combines analog and digital signal processing on a single chip, developed by former Bell engineer David A. Hodges with Paul R. Gray at UC Berkeley in the early 1970s.[10] In 1974, Hodges and Gray worked with R.E. Suarez to develop MOS switched capacitor (SC) circuit technology, which they used to develop a digital-to-analog converter (DAC) chip, using MOS capacitors and MOSFET switches for data conversion.[10] MOS analog-to-digital converter (ADC) and DAC chips were commercialized by 1974.[11]

MOS SC circuits led to the development of pulse-code modulation (PCM) codec-filter chips in the late 1970s.[10][12] The silicon-gate CMOS (complementary MOS) PCM codec-filter chip, developed by Hodges and W.C. Black in 1980,[10] has since been the industry standard for digital telephony.[10][12] By the 1990s, telecommunication networks such as the public switched telephone network (PSTN) had been largely digitized with very-large-scale integration (VLSI) CMOS PCM codec-filters, widely used in electronic switching systems for telephone exchanges, private branch exchanges (PBX), and key telephone systems (KTS); user-end modems; data transmission applications such as digital loop carriers, pair gain multiplexers, telephone loop extenders, integrated services digital network (ISDN) terminals, digital cordless telephones, and digital cell phones; and applications such as speech recognition equipment, voice data storage, voice mail, and digital tapeless answering machines.[12] The bandwidth of digital telecommunication networks has been rapidly increasing at an exponential rate, as observed by Edholm's law,[13] largely driven by the rapid scaling and miniaturization of MOS technology.[14][10]

RF CMOS circuits[edit]

While working at Bell Labs in the early 1980s, Pakistani engineer Asad Abidi worked on the development of sub-micron MOSFET (metal–oxide–semiconductor field-effect transistor) VLSI (very large-scale integration) technology at the Advanced LSI Development Lab, along with Marty Lepselter, George E. Smith, and Harry Bol. As one of the few circuit designers at the lab, Abidi demonstrated the potential of sub-micron NMOS integrated circuit technology in high-speed communication circuits, and developed the first MOS amplifiers for Gb/s data rates in optical fiber receivers. Abidi's work was initially met with skepticism from proponents of gallium arsenide and bipolar junction transistors, the dominant technologies for high-speed circuits at the time. In 1985, he joined UCLA, where he pioneered RF CMOS technology in the late 1980s. His work changed the way in which radio-frequency (RF) circuits would be designed, away from discrete bipolar transistors and towards CMOS integrated circuits.[15]

Abidi was researching analog CMOS circuits for signal processing and communications during the late 1980s to early 1990s. In the mid-1990s, the RF CMOS technology that he pioneered was widely adopted in wireless networking, as mobile phones began entering widespread use. As of 2008, the radio transceivers in all wireless networking devices and modern mobile phones are mass-produced as RF CMOS devices.[15]

The baseband processors[16][17] and radio transceivers in all modern wireless networking devices and mobile phones are mass-produced using RF CMOS devices.[15] RF CMOS circuits are widely used to transmit and receive wireless signals in a variety of applications, such as satellite technology (such as GPS), Bluetooth, Wi-Fi, near-field communication (NFC), mobile networks (such as 3G, 4G, and 5G), terrestrial broadcast, and automotive radar applications, among other uses.[18] RF CMOS technology is crucial to modern wireless communications, including wireless networks and mobile communication devices.[19]

Commercial examples[edit]

See also[edit]

Notes[edit]

  1. ^ Mixed-signal FPGAs are an extension of field-programmable analog arrays.
  2. ^ Some foundries may also have design service or list of partners capable for mixed signal design services for their technologies.

References[edit]

  1. ^ Saraju Mohanty, Nanoelectronic Mixed-Signal System Design, McGraw-Hill, 2015, ISBN 978-0071825719 and 0071825711.
  2. ^ "Mixed-Signal IC Design". quote: "mixed-signal (IC's with mixed analog and digital circuits on a single chip)"
  3. ^ Mark Burns and Gordon W. Roberts, "An Introduction to Mixed-Signal IC Test and Measurement", 2001.
  4. ^ "ESS Mixed Signal Circuits" Archived 2010-10-11 at the Wayback Machine
  5. ^ Chang, J.J.; Myunghee Lee; Sungyong Jung; Brooke, M.A.; Jokerst, N.M.; Wills, D.S. (1999). "Fully differential current-input CMOS amplifier front-end suppressing mixed signal substrate noise for optoelectronic applications". ISCAS'99. Proceedings of the 1999 IEEE International Symposium on Circuits and Systems VLSI (Cat. No.99CH36349). Vol. 1. pp. 327–330. doi:10.1109/ISCAS.1999.777869. ISBN 0-7803-5471-0. S2CID 206955680.
  6. ^ Singh, R. (1997). "Substrate noise issues in mixed-signal chip designs using Spice". International Conference on Electromagnetic Compatibility. Vol. 1997. pp. 108–112. doi:10.1049/cp:19971128. ISBN 0-85296-695-4.
  7. ^ "Mixed-Signal IC Merges 14-Bit ADC With DSP In 0.18-μm CMOS"
  8. ^ "Microsemi Fusion mixed-signal FPGA"
  9. ^ Maloberti, Franco; Davies, Anthony C. (2016). "History of Electronic Devices" (PDF). A Short History of Circuits and Systems: From Green, Mobile, Pervasive Networking to Big Data Computing. IEEE Circuits and Systems Society. pp. 59-70 (65-7). ISBN 9788793609860.
  10. ^ a b c d e f g Allstot, David J. (2016). "Switched Capacitor Filters" (PDF). In Maloberti, Franco; Davies, Anthony C. (eds.). A Short History of Circuits and Systems: From Green, Mobile, Pervasive Networking to Big Data Computing. IEEE Circuits and Systems Society. pp. 105–110. ISBN 9788793609860.
  11. ^ Electronic Components. U.S. Government Printing Office. 1974. p. 46.
  12. ^ a b c Floyd, Michael D.; Hillman, Garth D. (8 October 2018) [1st pub. 2000]. "Pulse-Code Modulation Codec-Filters". The Communications Handbook (2nd ed.). CRC Press. pp. 26–1, 26–2, 26–3. ISBN 9781420041163.
  13. ^ Cherry, Steven (2004). "Edholm's law of bandwidth". IEEE Spectrum. 41 (7): 58–60. doi:10.1109/MSPEC.2004.1309810. S2CID 27580722.
  14. ^ Jindal, Renuka P. (2009). "From millibits to terabits per second and beyond - over 60 years of innovation". 2009 2nd International Workshop on Electron Devices and Semiconductor Technology. pp. 1–6. doi:10.1109/EDST.2009.5166093. ISBN 978-1-4244-3831-0. S2CID 25112828.
  15. ^ a b c O'Neill, A. (2008). "Asad Abidi Recognized for Work in RF-CMOS". IEEE Solid-State Circuits Society Newsletter. 13 (1): 57–58. doi:10.1109/N-SSC.2008.4785694. ISSN 1098-4232.
  16. ^ Chen, Wai-Kai (2018). The VLSI Handbook. CRC Press. pp. 60–2. ISBN 9781420005967.
  17. ^ Morgado, Alonso; Río, Rocío del; Rosa, José M. de la (2011). Nanometer CMOS Sigma-Delta Modulators for Software Defined Radio. Springer Science & Business Media. p. 1. ISBN 9781461400370.
  18. ^ Veendrick, Harry J. M. (2017). Nanometer CMOS ICs: From Basics to ASICs. Springer. p. 243. ISBN 9783319475974.
  19. ^ "Infineon Hits Bulk-CMOS RF Switch Milestone". EE Times. 20 November 2018. Retrieved 26 October 2019.

Further reading[edit]