Multiple patterning

From Wikipedia, the free encyclopedia

Multiple patterning (or multi-patterning) is a class of technologies for manufacturing integrated circuits (ICs), developed for photolithography to enhance the feature density. It is expected to be necessary for the 10 nm and 7 nm node semiconductor processes and beyond. The premise is that a single lithographic exposure may not be enough to provide sufficient resolution. Hence additional exposures would be needed, or else positioning patterns using etched feature sidewalls (using spacers) would be necessary.

Different techniques for multiple patterning
Top: Splitting of features into groups (3 shown here), each patterned by a different mask
Center: Use of a spacer to generate additional separate features in the gaps
Bottom: Use of an opposite polarity feature to cut (small break) pre-existing features

Even with single exposure having sufficient resolution, extra masks have been implemented for better patterning quality such as by Intel for line-cutting at its 45nm node[1] or TSMC at its 28nm node.[2] Even for electron-beam lithography, single exposure appears insufficient at ~10 nm half-pitch, hence requiring double patterning.[3][4]

Double patterning lithography was first demonstrated in 1983 by D. C. Flanders and N. N. Efremow.[5] Since then several double patterning techniques have been developed such as self alignment double patterning (SADP) and a litho-only approach to double patterning.[6][7]

Pitch double-patterning was pioneered by Gurtej Singh Sandhu of Micron Technology during the 2000s, leading to the development of 30-nm class NAND flash memory. Multi-patterning has since been widely adopted by NAND flash and random-access memory manufacturers worldwide.[8][9]

Situations requiring multiple patterning[edit]

There are a number of situations which lead to multiple patterning being required.

Sub-resolution pitch[edit]

Stochastic defects limit EUV resolution. Stochastic defects are more serious for tighter pitches; at 36 nm pitch defect rate does not drop below ~1e-9. Contact patterns have severe defectivity at larger dimensions.

The most obvious case requiring multiple patterning is when the feature pitch is below the resolution limit of the optical projection system. For a system with numerical aperture NA and wavelength λ, any pitch below 0.5 λ/NA would not be resolvable in a single wafer exposure. The resolution limit may also originate from stochastic effects, as in the case of EUV. Consequently, 20 nm linewidth still requires EUV double patterning, due to larger defectivity at larger pitches.[10]

Two-dimensional pattern rounding[edit]

Two-dimensional pattern rounding. Two-dimensional dense patterns formed from few interfering beams are always severely rounded.

It is well-established that dense two-dimensional patterns, which are formed from the interference of two or three beams along one direction, as in quadrupole or QUASAR illumination, are subject to significant rounding, particularly at bends and corners.[11][12][13] The corner rounding radius is larger than the minimum pitch (~0.7 λ/NA).[14] This also contributes to hot spots for feature sizes of ~0.4 λ/NA or smaller.[15] For this reason, it is advantageous to first define line patterns, then cut segments from such lines accordingly.[16] This of course, requires additional exposures. The cut shapes themselves may also be round, which requires tight placement accuracy.[16][17][18]

Line tip vs. linewidth tradeoff[edit]

The rounding of line tips naturally leads to a tradeoff between shrinking the line width (i.e., the width of the line tip) and shrinking the gap between opposite facing tips. As the line width shrinks, the tip radius shrinks. When the line tip is already less than the point spread function (k1~0.6–0.7), the line tip naturally pulls back,[19] increasing the gap between opposite facing tips. The point spread function likewise limits the resolvable distance between the centers of the line tips (modeled as circles). This leads in turn to a tradeoff between reducing cell width and reducing cell height. The tradeoff is avoided by adding a cut/trim mask (see discussion below).[20] Hence, for the EUV-targeted 7nm node, with an 18 nm metal linewidth (k1=0.44 for λ=13.5 nm, NA=0.33), the line tip gap of less than 25 nm (k1=0.61) entails EUV single patterning is not sufficient; a second cut exposure is necessary.

Different parts of layout requiring different illuminations[edit]

Different features require different illuminations. Different features in the same layout (as indicated by different colors) could require different illuminations, and hence, different exposures. While horizontal and vertical lines may be addressed with a common quadrupole illumination (blue), 45-degree orientations would suffer, as they require an entirely different quadrupole illumination (red). Consequently, to include all these cases would require separate exposures.

When patterns include feature sizes near the resolution limit, it is common that different arrangements of such features will require specific illuminations for them to be printed.[21]

The most basic example is horizontal dense lines vs. vertical lines (half-pitch < 0.35 λ/NA), where the former requires a North-South dipole illumination while the latter requires an East-West dipole illumination. If both types are used (also known as cross-quadrupole C-Quad), the inappropriate dipole degrades the image of the respective line orientation.[22] Larger pitches up to λ/NA can have both horizontal and vertical lines accommodated by quadrupole or QUASAR illumination, but diagonally spaced features and elbow features are degraded.[23][24]

In DRAM, the array and periphery are exposed at different illumination conditions. For example, the array could be exposed with dipole illumination while the periphery could use annular illumination.[25] This situation applies to any set of patterns (half-pitch < 0.5 λ/NA) with different pitches or different feature arrangements, e.g., rectangular arrays vs. staggered arrays.[26][27][28][29] Any of the individual patterns is resolvable, but a single illumination cannot be used simultaneously for all of them. A minimum pitch may require an illumination that is detrimental to twice the minimum pitch with defocus.[30][31]

The inclusion of both isolated and dense features is a well-known instance of multi-pitch patterning. Subresolution assist features (SRAFs) have been designed to enable the patterning of isolated features when using illumination tailored for the dense features. However, not all pitch ranges can be covered. In particular, semi-dense features may not be easy to include.[32][33]

Specific example: hole arrays[edit]

Array-specific illuminations. Different array configurations require different and mutually exclusive illuminations. To accommodate all of these would require different exposures with the different illuminations.

For the specific case of hole arrays (minimum half-pitch < 0.6 λ/NA), three well-known cases require three entirely different illuminations. A regular array generally requires Quasar illumination, while the same array rotated 45 degrees results in a checkerboard array that requires C-quad illumination.[29] Different from both cases, an array with close to triangular or hexagonal symmetry requires hexapole illumination.[34]

Multi-pitch patterns[edit]

OPC hotspot. Insufficient space (red region) for assist features to support 2x minimum metal pitch (MMP) in the presence of 1x MMP is prohibited.
Patterns with incompatible illuminations. Illuminations tailored for certain parts of a multi-pitch pattern may degrade other aspects. Here, the blue locations benefit the minimum line pitch, while the red locations benefit the line breaks but not the minimum line pitch.

Sometimes a feature pattern inherently contains more than one pitch, and furthermore, these pitches are incompatible to the extent that no illumination can simultaneously image both pitches satisfactorily. A common example, again from DRAM, is the brick pattern defining the active regions of the array.[35][36] In addition to the narrow pitch of the active regions, there is also the pitch between the active region separations or breaks, which is different from that of the narrow pitch in the same direction. When the narrow pitch is < λ/NA (but still > 0.5 λ/NA), it cannot be imaged simultaneously with the double pitch due to the focus limitations of the latter. Selective etching, along with SADP or SAQP (to be described below), is the current best approach to achieve the simultaneous patterning of both pitches.[37]

Small deviations from 2-beam interference[edit]

A two-beam interference pattern (half-pitch <0.5 λ/NA) forms a set of regularly spaced lines. Breaks in such lines, e.g., brick patterns, are deviations from the interference pattern. Such breaks generally do not dominate the pattern, and are thus small deviations. These deviations are insufficient to completely offset the constructive or destructive interference of the underlying regular line pattern; sidelobes often result.[38][39] Line end gaps are easily bridged under dipole illumination.[40] Another mask exposure (usually referred as a cut mask) is therefore necessary to break the line pattern more robustly.

Line cutting[edit]

Misalignment of cut shapes can lead to electrical issues such as arcing and contact resistance variations.

The earliest implementation of multiple patterning involved line cutting. This first occurred for Intel's 45nm node, for 160 nm gate pitch.[41] The use of a second mask to cut lines defined by a first mask does not help increase feature density directly. Instead it allows definition of features, e.g., brick patterns, which are based on lines spaced at a minimum pitch, in particular, when the lines are near the resolution limit and are generated by the two-beam interference mentioned above. The two-beam interference still dominates the diffraction pattern.[38] In fact, in the absence of a separate cut exposure, the gap between the ends of the minimum pitch lines will be prohibitively large.[42][43] This is due to rounding resulting from reduced spatial frequencies.[44]

The line cut shapes themselves are subject to rounding; this rounding can be minimized with optimized illumination,[45] but cannot be eliminated completely.

When applying the second mask to cut lines, the overlay relative to the first mask needs to be considered; otherwise, edge placement errors (EPE) may result. If the line pitch is already near the resolution limit, the cut pattern itself may have imaging difficulty, from reduced dose or focus window. EUV stochastic variability causes random shaping of the cuts.[46] In this case, more than one cut mask would have to be used, or else the cut has to extend over more than one line. Self-aligned line cutting (to be discussed below) may be a preferred option.

Pitch splitting[edit]

Double Expose, Double Etch (trenches): Photoresist coating over first pattern; etching adjacent to previous features; Photoresist removal
Double patterning by pitch splitting. Double patterning by pitch splitting involves assigning adjacent features to two different masks, indicated by the different colors. It remains the simplest multiple patterning approach practiced today, and adds less cost than EUV.
Sometimes, it is necessary to "stitch" two separately printed features into a single feature.

The earliest form of multiple patterning involved simply dividing a pattern into two or three parts, each of which may be processed conventionally, with the entire pattern combined at the end in the final layer. This is sometimes called pitch splitting, since two features separated by one pitch cannot be imaged, so only skipped features can be imaged at once. It is also named more directly as "LELE" (Litho-Etch-Litho-Etch). This approach has been used for the 20 nm and 14 nm nodes. The additional cost of extra exposures was tolerated since only a few critical layers would need them. A more serious concern was the effect of feature-to-feature positioning errors (overlay). Consequently, the self-aligned sidewall imaging approach (described below) has succeeded this approach.

A "brute force" approach for patterning trenches involves a sequence of (at least) two separate exposures and etchings of independent patterns into the same layer. For each exposure, a different photoresist coating is required. When the sequence is completed, the pattern is a composite of the previously etched subpatterns. By interleaving the subpatterns, the pattern density can theoretically be increased indefinitely, the half-pitch being inversely proportional to the number of subpatterns used. For example, a 25 nm half-pitch pattern can be generated from interleaving two 50 nm half-pitch patterns, three 75 nm half-pitch patterns, or four 100 nm half-pitch patterns. The feature size reduction will most likely require the assistance of techniques such as chemical shrinks, thermal reflow, or shrink assist films. This composite pattern can then be transferred down into the final layer.

This is best described by considering a process example. A first exposure of photoresist is transferred to an underlying hardmask layer. After the photoresist is removed following the hardmask pattern transfer, a second layer of photoresist is coated onto the sample and this layer undergoes a second exposure, imaging features in between the features patterned in the hardmask layer. The surface pattern is made up of photoresist features edged between mask features, which can be transferred into the final layer underneath. This allows a doubling of feature density.

Sometimes, it is necessary to "stitch" two separately printed features into a single feature.[47][48][49]

A variation on this approach which eliminates the first hardmask etch is resist freezing,[50] which allows a second resist coating over the first developed resist layer. JSR has demonstrated 32 nm lines and spaces using this method,[51] where the freezing is accomplished by surface hardening of the first resist layer.

In recent years, the scope of the term 'pitch splitting' has gradually been expanded to include techniques involving sidewall spacers.

Sidewall image transfer[edit]

Spacer mask: first pattern; deposition; spacer formation by etching; first pattern removal; etching with spacer mask; final pattern

In spacer patterning, a spacer is a film layer formed on the sidewall of a pre-patterned feature. A spacer is formed by deposition or reaction of the film on the previous pattern, followed by etching to remove all the film material on the horizontal surfaces, leaving only the material on the sidewalls. By removing the original patterned feature, only the spacer is left. However, since there are two spacers for every line, the line density has now doubled. This is commonly referred to as self-aligned double patterning (SADP). The spacer technique is applicable for defining narrow gates at half the original lithographic pitch, for example.

As pitch splitting has become more difficult due to possible differences in feature positions between different exposed parts, sidewall image transfer (SIT) has become more recognized as the necessary approach. The SIT approach typically requires a spacer layer to be formed on an etched feature's sidewall. If this spacer corresponds to a conducting feature, then ultimately it must be cut at no less than two locations to separate the feature into two or more conducting lines as typically expected. On the other hand, if the spacer corresponds to a dielectric feature, cutting would not be necessary. The prediction of how many cuts would be needed for advanced logic patterns has been a large technical challenge. Many approaches for spacer patterning have been published (some listed below), all targeting the improved management (and reduction) of the cuts.

As spacer materials are commonly hardmask materials, their post-etch pattern quality tends to be superior compared to photoresist profiles after etch, which are generally plagued by line edge roughness.[52]

The main issues with the spacer approach are whether the spacers can stay in place after the material to which they are attached is removed, whether the spacer profile is acceptable, and whether the underlying material is attacked by the etch removing the material attached to the spacer. Pattern transfer is complicated by the situation where removal of the material adjacent to the spacers also removes a little of the underlying material. This results in higher topography on one side of the spacer than the other.[53] Any misalignment of masks or excursion in pre-patterned feature critical dimension (CD) will cause the pitch between features to alternate, a phenomenon known as pitch walking.[54]

The positioning of the spacer also depends on the pattern to which the spacer is attached. If the pattern is too wide or too narrow, the spacer position is affected. However, this would not be a concern for critical memory feature fabrication processes which are self-aligned.

When SADP is repeated, an additional halving of the pitch is achieved. This is often referred to as self-aligned quadruple patterning (SAQP). With 76 nm being the expected minimum pitch for a single immersion lithography exposure,[55] 19 nm pitch is now accessible with SAQP.

Self-aligned contact/via patterning[edit]

Self-aligned via dual-damascene patterning.

Self-aligned contact and via patterning is an established method for patterning multiple contacts or vias from a single lithographic feature. It makes use of the intersection of an enlarged feature resist mask and underlying trenches which are surrounded by a pre-patterned hardmask layer. This technique is used in DRAM cells[56] and is also used for advanced logic to avoid multiple exposures of pitch-splitting contacts and vias.[57][58][59]

Since 32 nm node, Intel has applied the above-mentioned self-aligned via approach, which allows two vias separated by a small enough pitch (112.5 nm for Intel 32 nm metal)[60] to be patterned with one resist opening instead of two separate ones.[59] If the vias were separated by less than the single exposure pitch resolution limit, the minimum required number of masks would be reduced, as two separate masks for the originally separated via pair can now be replaced by a single mask for the same pair.

Spacer-is-dielectric (SID) SADP[edit]

Spacer-is-dielectric (SID) SADP based on two successive depositions as well as at least two etches.
SID SADP has 3x the resolution with allowing the extra mask for line cutting.

In self-aligned double patterning (SADP), the number of cut/block masks may be reduced or even eliminated in dense patches when the spacer is used to directly pattern inter-metal dielectric instead of metal features.[61] The reason is the cut/block locations in the core/mandrel features are already patterned in the first mask. There are secondary features which emerge from the gaps between spacers after further patterning. The edge between a secondary feature and the spacer is self-aligned with the neighboring core feature.

2D SID spacer patterning[edit]

The use of SID may be applied to 2D arrays, by iteratively adding features equidistant from the previously present features, doubling the density with each iteration.[62][63] Cuts not requiring tight positioning may be made on this spacer-generated grid.[64]

Triangular spacer (honeycomb structure) patterning[edit]

A honeycomb pattern allows tripling of density for patterning of DRAM layers.

Samsung recently demonstrated DRAM patterning using a honeycomb structure (HCS) suitable for 20 nm and beyond.[65] Each iteration of spacer patterning triples the density, effectively reducing 2D pitch by a factor of sqrt(3). This is particularly useful for DRAM since the capacitor layer can be fit to a honeycomb structure, making its patterning simpler.

Self-aligned quadruple patterning (SAQP)[edit]

SAQP based on two successive SADP steps Compared to SADP, SAQP uses another spacer, enabling further self-aligned processing that allows further pitch reduction, along with the opportunity for flexible patterning.

SADP may be applied twice in a row to achieve an effective pitch quartering. This is also known as self-aligned quadruple patterning (SAQP). With SAQP, the primary feature critical dimension (CD), as well as the spacing between such features, are each defined by either the first or second spacer.

It is preferred to have the second spacer define non-conducting features[66] for more flexible cutting or trimming options.

SAQP has advantages in two-dimensional 28 nm pitch routing (followed by two selective etch cut/trim steps), compared to EUV, due to the illumination limitations of the latter.[67]

Directed self-assembly (DSA)[edit]

DSA recombines split vias. Two vias which normally would need separate exposures (red and blue) can be patterned together with DSA assistance using a single guiding pattern exposure (black border).

The number of masks used for sidewall spacer patterning may be reduced with the use of directed self-assembly (DSA) due to the provision of gridded cuts all at once within a printed area, which can then be selected with a final exposure.[68][64] Alternatively, the cut pattern itself may be generated as a DSA step.[69] Likewise, a split via layout may be recombined in pairs.[70]

Much progress had been reported on the use of PMMA-PS block copolymers to define sub-20 nm patterns by means of self-assembly, guided by surface topography (graphoepitaxy) and/or surface chemical patterning (chemoepitaxy).[71] The key benefit is the relatively simple processing, compared to multiple exposures or multiple depositions and etching. The main drawback of this technique is the relatively limited range of feature sizes and duty cycles for a given process formulation. Typical applications have been regular lines and spaces as well as arrays of closely packed holes or cylinders.[72] However, random, aperiodic patterns may also be generated using carefully defined guiding patterns.[73]

The line edge roughness in block copolymer patterns is strongly dependent on the interface tension between the two phases, which in turn, depends on the Flory "chi" (χ) parameter.[74] A higher value of χ is preferred for reduced roughness; the interfacial width between domains is equal to 2a(6χ)−1/2, where a is the statistical polymer chain length.[75] Moreover, χN > 10.5 is required for sufficient phase segregation, where N is the degree of polymerization (number of monomer repeats in the chain). On the other hand, the half-pitch is equal to 2(3/π2)1/3aN2/3χ1/6. The fluctuations of the pattern widths are actually only weakly (square root) dependent on the logarithm of the half-pitch, so they become more significant relative to smaller half-pitches.

DSA has not yet been implemented in manufacturing, due to defect concerns, where a feature does not appear as expected by the guided self-assembly.[76]

Other multi-patterning techniques[edit]

There have been numerous concerns that multiple patterning diminishes or even reverses the node-to-node cost reduction expected with Moore's Law. EUV is more expensive than three 193i exposures (i.e., LELELE), considering the throughput.[77] Moreover, EUV is more liable to print smaller mask defects not resolvable by 193i.[78] Some aspects of other considered multi-patterning techniques are discussed below.

Self-aligned triple patterning (SATP)[edit]

SATP offers less overlay sensitivity. SATP achieves the same pattern as SID SADP but with less overlay sensitivity for the cut/trim mask.

Self-aligned triple patterning has been considered as a promising successor to SADP, due to its introduction of a second spacer offering additional 2D patterning flexibility and higher density.[79][80] A total of two masks (mandrel and trim) is sufficient for this approach.[81] The only added cost relative to SADP is that of depositing and etching the second spacer. The main disadvantage of SATP succeeding SADP is that it would only be usable for one node. For this reason, self-aligned quadruple patterning (SAQP) is more often considered. On the other hand, the conventional SID SADP flow may be extended quite naturally to triple patterning, with the second mask dividing the gap into two features.[82]

Tilted ion implantation[edit]

Tilted ion implantation. Ion damage regions act as sidewall-aligned regions to be etched. A fundamental aspect of this approach is the correlation between damage width and damage pitch; both widen at the same time for fixed ion mask height and ion beam angle.

Tilted ion implantation was proposed in 2016 by the University of Berkeley as an alternative method of achieving the same result as spacer patterning.[83] Instead of core or mandrel patterns supporting deposited spacers, an ion masking layer pattern shields an underlying layer from being damaged by ion implantation, which leads to being etched away in a subsequent process. The process requires the use of angled ion beams which penetrate to just the right depth, so as not to damage already processed layers underneath. Also, the ion masking layer must behave ideally, i.e., blocking all ions from passing through, while also not reflecting off the sidewall. The latter phenomenon would be detrimental and defeat the purpose of the ion masking approach. Trenches as small as 9 nm have been achieved with this approach, using 15 keV Ar+ ion implantation at 15-degree angles into a 10 nm thermal SiO2 masking layer. A fundamental aspect of this approach is the correlation between damage width and damage pitch; both widen at the same time for fixed ion mask height and ion beam angle.

Complementary polarity exposures[edit]

The method of complementary exposures[84] is another way of reducing mask exposures for multiple patterning. Instead of multiple mask exposures for individual vias, cuts or blocks, two exposures of opposing or complementary polarity are used, so that one exposure removes interior portions of the previous exposure pattern. The overlapped regions of two polygons of opposite polarity do not print, while the non-overlapped regions define locations that print according to the polarity. Neither exposure patterns the target features directly. This approach was also implemented by IMEC as two "keep" masks for the M0A layer in their 7nm SRAM cell.[85]

Self-aligned blocking or cutting[edit]

SADP with self-aligned blocking/cutting. Self-aligned blocking or cutting allows lines to be divided into two colors, due to the use of two different materials of different etch selectivity. Only lines of one color may be cut by a given mask exposure.

Self-aligned blocking or cutting is currently being targeted for use with SAQP for sub-30 nm pitches.[86] The lines to be cut are divided into two materials, which can be etched selectively. One cut mask only cuts every other line made of one material, while the other cut mask cuts the remaining lines made of the other material. This technique has the advantage of patterning double pitch features over lines at the minimum pitch, without edge placement errors.[37] Cut-friendly layouts are processed with the same minimum number of masks (3), regardless of using DUV or EUV wavelength.[87]

SAQP flow for self-aligned blocking/cutting Self-Aligned Quadruple Patterning (SAQP) flow can accommodate self-aligned blocking or cutting.

EUV multiple patterning possibilities[edit]

EUV layout splitting due to different illuminations. This layout consists of vertical and horizontal lines requiring two different illuminations optimized for each, since the horizontal layout includes wider lines and spaces. Consequently, the layout needs to be split, even for EUV lithography. Furthermore, additional cut exposures are preferred for the gaps between line tips (circled).

Although EUV has been projected to be the next-generation lithography of choice, it could still require more than one lithographic exposure, due to the foreseen need to first print a series of lines and then cut them; a single EUV exposure pattern has difficulty with line end-to-end spacing control.[12] In addition, the line end placement is significantly impacted by photon shot noise.[88]

The existing 0.33 NA EUV tools are challenged below 16 nm half-pitch resolution.[89] Tip-to-tip gaps are problematic for 16 nm dimensions.[90] Consequently, EUV 2D patterning is limited to >32 nm pitch.[89] Recent studies of optimizing the EUV mask features and the illumination shape simultaneously have indicated that different patterns in the same metal layer could require different illuminations.[91][92][93][94][95] On the other hand, a single exposure only offers a single illumination.

For example, in a cross-pitch source-mask optimization for 7nm node, for 40-48 nm pitch and 32 nm pitch, the quality as determined by the normalized image log slope was insufficient (NILS<2), while only 36 nm pitch was barely satisfactory for bidirectional single exposure.[13]

The underlying situation is that EUV patterns may be split according to different illuminations for different pitches, or different pattern types (e.g., staggered arrays vs. regular arrays).[91] This could apply to line-cutting patterns as well as contact/via layers. It is also likely more than one cut would be needed, even for EUV.[96]

At the 2016 EUVL Workshop, ASML reported that the 0.33 NA NXE EUV tools would not be capable of standard single exposure patterning for the 11-13 nm half-pitch expected at the 5 nm node.[97] A higher NA of 0.55 would allow single exposure EUV patterning of fields which are half the 26 mm x 33 mm standard field size.[97] However, some products, such as NVIDIA's Pascal Tesla P100,[98] will be bisected by the half-field size, and therefore require stitching of two separate exposures.[99] In any case, two half-field scans consume twice as much acceleration/deceleration overhead as a single full-field scan.[97][100]

Stochastic defects, including edge placement error, also entail double (or higher) patterning for contacts/vias with center-to-center distance of 40 nm or less.[101][102]

Multipatterning implementations[edit]

Non-ideal multipatterning: Here a layout is divided into three parts which are each difficult to image. Each part contains features of different sizes and different spacings, as well as different orientations, inheriting the problems of the original layout.

Memory patterns are already patterned by quadruple patterning for NAND[103] and crossed quadruple/double patterning for DRAM.[104] These patterning techniques are self-aligned and do not require custom cutting or trim masks. For 2x-nm DRAM and flash, double patterning techniques should be sufficient.

Current EUV throughput is still more than 3x slower than 193 nm immersion lithography, thus allowing the latter to be extended by multiple patterning. Furthermore, the lack of an EUV pellicle is also prohibitive.

As of 2016, Intel was using SADP for its 10 nm node;[105] however, as of 2017, the 36 nm minimum metal pitch is now being achieved by SAQP.[106] Intel is using triple patterning for some critical layers at its 14 nm node,[107] which is the LELELE approach.[108] Triple patterning is already demonstrated in 10 nm tapeout,[109] and is already an integral part of Samsung's 10 nm process.[110] TSMC is deploying 7 nm in 2017 with multiple patterning;[111] specifically, pitch-splitting,[112] down to 40 nm pitch.[113] Beyond the 5 nm node, multiple patterning, even with EUV assistance, would be economically challenging, since the departure from EUV single exposure would drive up the cost even higher. However, at least down to 12 nm half-pitch, LELE followed by SADP (SID) appears to be a promising approach, using only two masks, and also using the most mature double patterning techniques, LELE and SADP.[114]

Patterning costs[edit]

Color-optimized multi-patterning. Ideally, the three differently colored sets of features are spread as evenly as possible, and follow a consistent pitch.
Patterning Method Normalized Wafer Cost
193i SE 1
193i LELE 2.5
193i LELELE 3.5
193i SADP 2
193i SAQP 3
EUV SE 4
EUV SADP 6

Ref.: A. Raley et al., Proc. SPIE 9782, 97820F (2016).

Compared to 193i SADP, EUV SADP cost is dominated by the EUV tool exposure, while the 193i SAQP cost difference is from the added depositions and etches. The processing cost and yield loss at a lithographic tool is expected to be highest in the whole integrated process flow due to the need to move the wafer to specific locations at high speed. EUV further suffers from the shot noise limit, which forces the dose to increase going for successive nodes.[115] On the other hand, depositions and etches process entire wafers at once, without the need for wafer stage motion in the process chamber. In fact, multiple layers may be added under the resist layer for anti-reflection or etch hard-mask purposes, just for conventional single exposure.

Published silicon demonstrations[edit]

Pitch Patterning Scheme # Masks Demonstrated by Reference
64 nm LELE 2 IBM/Toshiba/Renesas 2011 IITC
56 nm LELE 2 STMicroelectronics/IBM/Toshiba Microel. Eng. 107, 138 (2013)
48 nm SADP + block mask 2 IBM/Samsung/GlobalFoundries 2013 IITC
40 nm SADP + pitch-divided cut grid + cut selection pattern 3 Tela/Canon/TEL/Sequoia Proc. SPIE 8683, 868305 (2013)
40 nm SADP + self-aligned block (LELE) 3 TEL Proc. SPIE 10149, 101490O (2017)

Leading-edge logic/ASIC multi-patterning practices[edit]

Four masks for dividing minimum pitch by 3. To pattern at one-third the minimum line pitch, 4 masks (each represented here by a different color) may be used. This method is also known as "LELELELE" (4x the litho-etch (LE) iteration). Alternatively, SADP may be applied with fewer masks.
Company Logic Process Minimum Metal Pitch (MMP) MMP Patterning Technique Production Start
Intel 14nm 52 nm[116] SADP + cut[116] 2014[116]
Intel 10nm 36 nm[117] SAQP + SAQP + LELE[117][118] 2019
TSMC 7FF 40 nm[113] SADP + cut[119] early 2017[120]
Samsung 8LPP; continued to 7LPP[121][122] 44 nm[123] LELELELE[123] end of 2018

Even with the introduction of EUV technology in some cases, multiple patterning has continued to be implemented in the majority of layers being produced. For example, quadruple patterning continues to be used for 7nm by Samsung.[121] TSMC's 7nm+ process also makes use of EUV in a multi-patterning context.[124] Only a few layers are affected anyway;[125] many remain conventional multi-patterning.

Mask costs[edit]

The mask cost strongly benefits from the use of multiple patterning. The EUV single exposure mask has smaller features which take much longer to write than the immersion mask. Even though mask features are 4x larger than wafer features, the number of shots is exponentially increased for much smaller features. Furthermore, the sub-100 nm features on the mask are also much harder to pattern, with absorber heights ≈70 nm.[126]

Wafer productivity[edit]

Tool EUV EUV Immersion Immersion
WPH (wafers per hour) 85 85 275 275
# tools 6 6 24[127] 24
uptime 70% 70% 90% 90%
# passes 1 2 2 4
WPM (wafers per month) 257,040 128,520 2,138,400 1,069,200
normalized WPM 1 0.5 8 4

Note: WPM = WPH * # tools * uptime / # passes * 24 hrs/day * 30 days/month. Normalized WPM = WPM/(WPM for EUV 1 pass)

Multiple patterning with immersion scanners can be expected to have higher wafer productivity than EUV, even with as many as 4 passes per layer, due to faster wafer exposure throughput (WPH), a larger number of tools being available, and higher uptime.

Multiple patterning specific issues[edit]

Diagonal cuts forbidden. Diagonal line cuts are forbidden, due to their "kissing corner" distorted appearance. Their use is discouraged even with EUV single patterning.
Issue LELE LELELE SID SADP SAQP
Overlay between 1st and 2nd exposures, especially where stitching among all three exposures, especially where stitching between core and cut exposures between core and cut exposures
Exposed feature width (1) 1st exposure (2) 2nd exposure (1) 1st exposure (2) 2nd exposure (3) 3rd exposure core feature (1) core feature (2) cut shape
Feature slimming target width 1/4 exposure pitch 1/6 exposure pitch 1/4 core pitch 1/8 core pitch
Spacer width N/A N/A 1 spacer (1) 1st spacer (2) 2nd spacer

Multiple patterning entails the use of many processing steps to form a patterned layer, where conventionally only one lithographic exposure, one deposition sequence and one etch sequence would be sufficient. Consequently, there are more sources of variations and possible yield loss in multiple patterning. Where more than one exposure is involved, e.g., LELE or cut exposures for SAQP, the alignment between the exposures must be sufficiently tight. Current overlay capabilities are ≈0.6 nm for exposures of equal density (e.g., LELE) and ≈2.0 nm for dense lines vs. cuts/vias (e.g., SADP or SAQP) on dedicated or matched tools.[128] In addition, each exposure must still meet specified width targets. Where spacers are involved, the width of the spacer is dependent on the initial deposition as well as the subsequent etching duration. Where more than one spacer is involved, each spacer may introduce its own width variation. Cut location overlay error can also distort line ends (leading to arcing) or infringe on an adjacent line.[16][17][18]

Mixed patterning methods[edit]

Multiple patterning is evolving toward a combination of multiple exposures, spacer patterning, and/or EUV. Especially with tip-to-tip scaling being difficult in a single exposure on current EUV tools,[12] a line-cutting approach may be necessary. IMEC reported that double patterning is becoming a requirement for EUV.[129]

Grid definition 1st Cut Exposure 2nd Cut Exposure
SADP/SAQP 1st Self-Aligned Block Mask 2nd Self-Aligned Block Mask
SADP/SAQP Cut grid[130] Cut selection pattern[130]
EUV exposure 1st Self-Aligned Block Mask 2nd Self-Aligned Block Mask
SAQP 1st overlaid spacer grid[131][132] 2nd overlaid spacer grid [131][132][84][133]
Grid cut location selection.By first defining cut locations on a grid, the effect of overlay error on cut shape is eliminated. Top: Grid defining cut locations. The points of intersection of the red lines with the underlying metal lines (blue) define the allowed locations. Bottom: Selection of cut locations.

For line patterning, SADP/SAQP could have the advantage over the EUV exposure, due to cost and maturity of the former approach and stochastic missing or bridging feature issues of the latter.[134] For grid location patterning, a single DUV exposure following grid formation also has the cost and maturity advantages (e.g., immersion lithography may not even be necessary for the spacer patterning in some cases) and no stochastic concerns associated with EUV. Grid location selection has an advantage over direct point cutting because the latter is sensitive to overlay and stochastic edge placement errors, which may distort the line ends.[16][17]

SALELE (Self-aligned Litho-Etch-Litho-Etch. Plan view of SALELE process steps, taken together.

Self-aligned litho-etch-litho-etch (SALELE) is a hybrid SADP/LELE technique whose implementation has started in 7nm[135] and continued use in 5nm.[136]

Industrial adoption[edit]

The evolution of multiple patterning is being considered in parallel with the emergence of EUV lithography. While EUV lithography satisfies 10-20 nm resolution by basic optical considerations, the occurrence of stochastic defects[137] as well as other infrastructure gaps and throughput considerations prevent its adoption currently. Consequently, 7nm tapeouts have largely proceeded without EUV.[138] In other words, the multiple patterning is not prohibitive, but more like a nuisance and growing expense.

7nm and 5nm FinFETs[edit]

Self-aligned quadruple patterning (SAQP) is already the established process to be used for patterning fins for 7 nm and 5 nm FinFETs.[139] With SAQP, each patterning step gives a critical dimension uniformity (CDU) value in the sub-nanometer range (3 sigma). Among the logic/foundry manufacturers, only Intel is applying SAQP to the metal layers, as of 2017.[140]

Aggressive multipatterning with DUV only may be applied to 5nm.[141]

3nm node[edit]

Aggressive multipatterning with DUV may be applied to even 3nm.[142] Due to the increased expense of EUV multipatterning, DUV multipatterning does not have a cost disadvantage anymore. Aggressive mask reduction can essentially eliminate the mask number difference between DUV and EUV for BEOL patterning.[143]

DRAM[edit]

Like NAND Flash, DRAM has also made regular use of multiple patterning. Even though the active areas form a two-dimensional array, one cut mask is sufficient for 20 nm.[144] Furthermore, the cut mask may be simultaneously used for patterning the periphery, and thus would not count as an extra mask.[145] When the active area long pitch is ~3.5 x the short pitch, the breaks in the active area form a hexagonal array, which is amenable to the triangular lattice spacer patterning mentioned above. Samsung has already started manufacturing the 18 nm DRAM.[146]

NAND flash[edit]

Planar NAND flash had several layers which use SADP below 80 nm pitch and SAQP below 40 nm pitch.

3D NAND flash used SADP for some layers. While it does not scale so aggressively laterally, the use of string stacking in 3D NAND would imply the use of multiple patterning (litho-etch style) to pattern the vertical channels.

Typically, for NAND, SADP patterns a set of lines from a core mask, followed by using a trim mask to remove the loop ends, and connecting pads with a third mask.[147]

EUV Multipatterning[edit]

DUV vs. EUV multipatterning. Below 40 nm pitch, EUV is expected to require multipatterning due to the necessity of maintaining sufficiently small distance between line ends, without destroying portions of lines in between. The number of masks required can match that of DUV, such as for this target pattern.
28 nm pitch multipatterning. At ~30 nm pitch, both DUV and EUV approaches require multiple patterning.

EUV multiple patterning is not ruled out, especially for 5nm node. This is due to a number of reasons. First, there is the tightening tip-to-tip (T2T) spec, representing the minimum distance between metal line ends.[148] In addition, the distance between cuts must not be too small as to expose portions of lines in between.

When minimum pitch is reduced to 32 nm or less, stochastic defects are prevalent enough [149] to reconsider double patterning at larger design widths.

At pitches of ~30 nm or less, the illumination is also restricted to extremely low pupil fills below 20%,[150][151] which causes a significant portion of the EUV source power to be unused. This lowers the throughput considerably.

Hence, multiple patterning for EUV at wider design rules is presently a practical consideration for both yield and throughput reasons.

References[edit]

  1. ^ Intel 45nm HKMG
  2. ^ TSMC 28nm cutpoly
  3. ^ Chao, Weilun; Kim, Jihoon; Anderson, Erik H.; Fischer, Peter; Rekawa, Senajith; Attwood, David T. (2009-01-09). "Double patterning HSQ processes of zone plates for 10 nm diffraction limited performance". {{cite journal}}: Cite journal requires |journal= (help)
  4. ^ Duan, Huigao; Winston, Donald; Yang, Joel K. W.; Cord, Bryan M.; Manfrinato, Vitor R.; Berggren, Karl K. (November 2010). "Sub-10-nm half-pitch electron-beam lithography by using poly(methyl methacrylate) as a negative resist" (PDF). Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics: Materials, Processing, Measurement, and Phenomena. 28 (6): C6C58–C6C62. Bibcode:2010JVSTB..28C..58D. doi:10.1116/1.3501353. hdl:1721.1/73447. Archived from the original (PDF) on 2012-01-19.
  5. ^ D. C. Flanders; N. N. Efremow (1983). "Generation of <50 nm period gratings using edge defined techniques". J. Vac. Sci. Technol. B: 1105–1108. {{cite journal}}: Cite journal requires |journal= (help)
  6. ^ Chris Bencher; Yongmei Chen; Huixiong Dai; Warren Montgomery; Lior Huli (2008). "22nm half-pitch patterning by CVD spacer self alignment double patterning (SADP)". Optical Microlithography XXI. Optical Microlithography XXI; 69244E. 6924: 69244E. Bibcode:2008SPIE.6924E..4EB. doi:10.1117/12.772953. S2CID 121968664.
  7. ^ A. Vanleenhove; D. Van Steenwinckel (2007). Flagello, Donis G (ed.). "A litho-only approach to double patterning". Society of Photo-Optical Instrumentation Engineers (Spie) Conference Series. Optical Microlithography XX. Optical Microlithography XX; 65202F. 6520: 65202F. Bibcode:2007SPIE.6520E..2FV. doi:10.1117/12.713914. S2CID 119829809.
  8. ^ "IEEE Andrew S. Grove Award Recipients". IEEE Andrew S. Grove Award. Institute of Electrical and Electronics Engineers. Retrieved 4 July 2019.
  9. ^ "Micron Named Among Top 100 Global Innovators for Sixth Straight Year". Micron Technology. 2018-02-15. Retrieved 5 July 2019.
  10. ^ D. De Simone, A. Singh, G. Vandenberghe, Proc. SPIE 10957, 109570Q (2019).
  11. ^ M. Vala and J. Homola, Optics Express Vol. 22, 18778 (2014).
  12. ^ a b c van Setten, Eelco; Wittebrood, Friso; et al. (September 4, 2015). "Patterning options for N7 logic: Prospects and challenges for EUV". In Behringer, Uwe F.W; Finders, Jo (eds.). 31st European Mask and Lithography Conference. Vol. 9661. pp. 96610G. Bibcode:2015SPIE.9661E..0GV. doi:10.1117/12.2196426. S2CID 106609033. {{cite book}}: |journal= ignored (help)
  13. ^ a b R-H. Kim et al., Proc. SPIE vol. 9776, 97761R (2016).
  14. ^ R. L. Jones and J. D. Byers, Proc. SPIE 5040, 1035 (2003).
  15. ^ S. Kobayashi et al., Proc. SPIE 6521, 65210B (2007).
  16. ^ a b c d R. Kotb et al., Proc. SPIE 10583, 1058321 (2018).
  17. ^ a b c Y. Borodovsky, "EUV Lithography at Insertion and Beyond," 2012 International Workshop on EUV Lithography.
  18. ^ a b L. T.-N. Wang et al., Proc. SPIE 9781, 97810B (2016).
  19. ^ C. A. Mack, Proc. SPIE 4226, 83 (2000).
  20. ^ TSMC N7 cut metal
  21. ^ C. T. Bodendorf, Proc. SPIE 5992, 599224 (2005).
  22. ^ M. Burkhardt et al., Proc. SPIE 6520, 65200K (2007).
  23. ^ E. Hendrickx et al., Proc. SPIE 6924, 69240L (2008).
  24. ^ W. N. Partlo et al., Prof. SPIE 1927, 137 (1993).
  25. ^ T. Winkler et al., Proc. SPIE 5754, 1169 (2005).
  26. ^ Y. Chen et al., J. Vac. Sci. & Tech. B 35, 06G601 (2017).
  27. ^ K. Tsujita et al., Proc. SPIE 6520, 652036 (2007).
  28. ^ T. Winkler et al., Proc. SPIE 5754, 1169 (2005).
  29. ^ a b K. Tian et al., Proc. SPIE 7274, 72740C (2009).
  30. ^ DRAM Periphery Metal Patterning Pitch Incompatibilities
  31. ^ A Forbidden Pitch Combination at Advanced Lithography Nodes
  32. ^ F. M. Schellenberg et al., DAC 2001,Adoption of OPC and the Impact on Design and Layout.
  33. ^ V. Wiaux et al., Proc. SPIE 5040, 270 (2003).
  34. ^ B. Bilski et al., Proc. SPIE 10466, 1046605 (2017).
  35. ^ Samsung 80nm DRAM
  36. ^ When Lithography Requires Multiple Patterning: Avoiding Analog Distortions in the Resist Layer
  37. ^ a b Feature-selective etching in SAQP for sub-20nm patterning
  38. ^ a b N. Singh and M. Mukherjee-Roy, Proc. SPIE vol. 4691, 1054 (2002).
  39. ^ J. Garofalo et al., J. Vac. Sci. & Tech. B 11, 2651 (1993).
  40. ^ T. Matsuda et al., Proc. SPIE 7973, 797316 (2011).
  41. ^ Intel Technology Journal 45nm issue
  42. ^ How Line Cuts Became Necessarily Separate Steps in Lithography
  43. ^ M. Eurlings et al., Proc. SPIE 4404, 266 (2001).
  44. ^ Vanishing of Half the Fourier Coefficients in Staggered Arrays
  45. ^ Optimized Illumination for Dense Metal Cut Patterns
  46. ^ EUV Stochastic Variability in Line Cuts
  47. ^ S-Min Kim et al., Proc. SPIE 6520, 65200H (2007).
  48. ^ Y. Kohira et al., Proc. SPIE 9053, 90530T (2014).
  49. ^ Application-Specific Lithography: Sense Amplifier and Sub-Wordline Driver Metal Patterning in DRAM
  50. ^ "Scaling-driven nanoelectronics - Resists". Archived from the original on 2010-03-23.
  51. ^ LaPedus, Mark (March 13, 2008). "JSR demos 'freezing material' for 22-nm production". Archived from the original on 2014-07-15.
  52. ^ X. Hua et al., J. Vac. Sci. Tech. B, vol. 24, pp. 1850-1858 (2006).
  53. ^ Y-K Choi et al., J. Phys. Chem. B, vol. 107, pp. 3340-3343 (2003).
  54. ^ Chao, Robin; Kohli, Kriti K.; Zhang, Yunlin; Madan, Anita; Muthinti, Gangadhara Raja; Hong, Augustin J.; Conklin, David; Holt, Judson; Bailey, Todd C. (2014-01-01). "Multitechnique metrology methods for evaluating pitch walking in 14 nm and beyond FinFETs". Journal of Micro/Nanolithography, MEMS, and MOEMS. 13 (4): 041411. Bibcode:2014JMM&M..13d1411C. doi:10.1117/1.JMM.13.4.041411. ISSN 1932-5150. S2CID 122374784.
  55. ^ I. Bouchoms et al., Proc. SPIE 7274, 72741K (2009).
  56. ^ US Patent 6165880, assigned to TSMC.
  57. ^ Y. Loquet et al., Microelec. Eng. 107, 138 (2013).
  58. ^ US Patent 8813012, assigned to Synopsys.
  59. ^ a b R. Brain et al., IITC 2009.
  60. ^ P. Packan et al., IEDM 2009.
  61. ^ Ref.: US Patent 8312394, assigned to Synopsys.
  62. ^ K. Oyama et al., Proc. SPIE 9051, 90510V (2014).
  63. ^ B. Mebarki et al., U. S. Patent 8,084,310, assigned to Applied Materials.
  64. ^ a b M. C. Smayling et al., Proc. SPIE 8683, 868305 (2013).
  65. ^ J. M. Park et al., IEDM 2015, 676 (2015).
  66. ^ Feature assignments for the spacers in SAQP
  67. ^ Application-Specific Lithography - 28 nm Pitch Two-Dimensional Routing
  68. ^ Synopsis Presentation at Semicon West 2013 Archived 2015-09-24 at the Wayback Machine
  69. ^ Z. Xiao et al., Proc. SPIE 8880, 888017-3 (2013).
  70. ^ J. Bekaert et al., Proc. SPIE 9658, 965804 (2015).
  71. ^ S. H. Park et al., Soft Matter, 6, 120-125 (2010).
  72. ^ C. G. Hardy and C. Tang, J. Polymer Sci. Pt. B: Polymer Phys., vol. 51, pp. 2-15 (2013).
  73. ^ L-W. Chang et al.IEDM 2010 Technical Digest, 752-755 (2010).
  74. ^ NIST 2011 report on LER in PS-b-PMMA DSA
  75. ^ A. N. Semenov, Macromolecules 26, 6617 (1993).
  76. ^ A. Gharbi et al., Proc. SPIE 9777, 97770T (2016).
  77. ^ D. Civay et al., J. Micro/Nanolith. MEMS MOEMS 14, 023501 (2015).
  78. ^ K. Seki et al., Proc. SPIE 9658, 96580G (2015).
  79. ^ US Patent 7842601, assigned to Samsung.
  80. ^ Y. Chen et al., Proc. SPIE 7973, 79731P (2011).
  81. ^ M. Mirsaeedi et al., IEEE Trans. VLSI Syst. 22, 1170 (2014).
  82. ^ US Patent 7846849, assigned to Applied Materials.
  83. ^ S. W. Kim et al., JVST B 34, 040608 (2016).
  84. ^ a b F. T. Chen et al., Proc. SPIE 8326, 83262L (2012).
  85. ^ S. Sakhare et al., Proc. SPIE 9427, 94270O (2015).
  86. ^ N. Mohanty et al., Proc. SPIE 10147, 1014704 (2017).
  87. ^ Etch Pitch Doubling Requirement for Cut-Friendly Track Metal Layouts: Escaping Lithography Wavelength Dependence
  88. ^ Photon Shot Noise Impact on Line End Placement
  89. ^ a b T-B. Chiou et al., Proc. SPIE 9781, 978107 (2016).
  90. ^ T. H-Bao et al., Proc. SPIE 9781, 978102 (2016).
  91. ^ a b Y. Chen et al., J. Vac. Sci. Tech. B35, 06G601 (2017).
  92. ^ M. Crouse et al., Proc. SPIE 10148, 101480H (2017).
  93. ^ W. Gillijns et al., Proc. SPIE 10143, 1014314 (2017).
  94. ^ T. Last et al., Proc. SPIE 10143, 1014311 (2017).
  95. ^ S. Hsu et al., Proc. SPIE 9422, 94221I (2015).
  96. ^ Merritt, Rick (October 7, 2015). "5nm Test Lights Litho Path Hybrid 193i, EUV seen as best approach". EETimes.
  97. ^ a b c ASML High-NA EUV
  98. ^ NVIDIA Pascal Tesla P100 Unveiled - 15.3 Billion Transistors on a 610mm2 16nm Die
  99. ^ Microlithography: Science and Technology, 2nd ed., B. W. Smith and K. Suzuki (eds.), CRC Press, 2007, p. 94.
  100. ^ Handbook of Semiconductor Manufacturing Technology, Y. Nishi and R. Doering (eds.), CRC Press, 2000, p. 475.
  101. ^ Application-Specific Lithography: Via Separation for 5nm and Beyond
  102. ^ W. Gao et al., Proc.SPIE 11323, 113231L (2020).
  103. ^ Hynix M1X NAND
  104. ^ U.S. Patent 9318369, assigned to Samsung.
  105. ^ Intel discusses 10nm
  106. ^ Intel unveils 10nm
  107. ^ Intel goes to 7nm without EUV
  108. ^ "The Bumpy Road to 10nm FinFETs". 21 May 2015.
  109. ^ Triple patterning is becoming common at 10nm
  110. ^ Samsung announces 10nm FinFET process for SoC
  111. ^ "Semimd - Health & Fitness Magazine 2020". 10 November 2023.
  112. ^ S. Y. Wu et al., IEDM 2016, paper 2.7.
  113. ^ a b IEDM 2016 Setting Stage for 7/5 nm
  114. ^ F. T. Chen et al., Proc. SPIE 8683, 868311 (2013).
  115. ^ F. T. Chen et al., Proc. SPIE vol. 8326, 82362L (2012).
  116. ^ a b c "14 nm lithography process - WikiChip".
  117. ^ a b [1] A. Yeoh et al., IITC 2018.
  118. ^ U.S. Patent Application 20150179513
  119. ^ Swati Chavan; Jayesh Prajapati; Akash Verma. "A Heuristic Approach to Fix Design Rule Check (DRC) Violations in ASIC Designs @7nm FinFET Technology". Design & Reuse.
  120. ^ TSMC on 2017
  121. ^ a b J. Kim et al., Proc. SPIE 10962, 1096204 (2019).
  122. ^ Calibre support for 8LPP and 7LPP
  123. ^ a b [2] H. Rhee et al., 2018 Symp. VLSI Tech., 217.
  124. ^ Mentor extends 7nm FinFET Plus support
  125. ^ TSMC 2019 Q2 earnings call
  126. ^ R. R. Haque et al., Proc. SPIE 9776, 97760F (2016).
  127. ^ Samsung receives 24 immersion tools from ASML in 2010
  128. ^ Extending immersion lithography to 1xnm nodes
  129. ^ EUV Errors Under Attack
  130. ^ a b M. C. Smayling et al., Proc. SPIE 8683, 868305 (2013).
  131. ^ a b US Patent 9240329
  132. ^ a b US Patent application 20170221902
  133. ^ US Patent 8697538
  134. ^ P. Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  135. ^ Q. Lin, Proc. SPIE 11327, 113270X (2020).
  136. ^ SALELE double patterning for 7nm and 5nm nodes
  137. ^ P. De Bisschop and E. Hendrickx, Proc. SPIE 10583, 105831K (2018).
  138. ^ TSMC tapeouts in 2018
  139. ^ SAQP for FinFETs
  140. ^ Intel 14 nm Leadership
  141. ^ Q. Wu et al., CSTIC 2023.
  142. ^ Extension of DUV Multipatterning Toward 3nm
  143. ^ BEOL Mask Reduction Using Spacer-Defined Vias and Cuts
  144. ^ Y-S. Kang et al., J. Micro/Nanolith. MEMS MOEMS vol. 15(2), 021403 (2016).
  145. ^ U.S. Patent 7253118.
  146. ^ Samsung 18 nm DRAM
  147. ^ H. Dai et al., Proc. SPIE 7275, 72751E (2009).
  148. ^ J. Van Schoot et al., Proc. SPIE 11147, 1114710 (2019).
  149. ^ S. Kang et al., Proc. SPIE 11611, 1161129 (2021).
  150. ^ D. Rio et al, Proc. SPIE 11609, 116090T (2021).
  151. ^ J-H. Franke et al., Proc. SPIE 11517, 1151716 (2020).