Moore's law: Difference between revisions

From Wikipedia, the free encyclopedia
Content deleted Content added
Citation bot (talk | contribs)
m Alter: title. Add: doi. Removed accessdate with no specified URL. Removed parameters. | You can use this bot yourself. Report bugs here. | User-activated.
Tags: Replaced blanking Visual edit
Line 1: Line 1:
<br />
[[File:Moore's Law Transistor Count 1971-2016.png|thumb|upright=2|A plot of CPU [[transistor count]]s against dates of introduction.]]
'''Moore's law''' is the observation that the number of [[transistor]]s in a dense [[integrated circuit]] doubles about every two years. The observation is named after [[Gordon Moore]], the co-founder of [[Fairchild Semiconductor]] and CEO of [[Intel]], whose 1965 paper described a [[exponential growth|doubling every year]] in the number of components per integrated circuit,{{#tag:ref|The trend begins with the invention of the integrated circuit in 1958. See the graph on the bottom of page 3 of Moore's original presentation of the idea.<ref name=Moore1965>{{cite web |url=https://drive.google.com/file/d/0By83v5TWkGjvQkpBcXJKT1I1TTA/view?usp=sharing |title=Cramming more components onto integrated circuits |last=Moore |first=Gordon E.|authorlink=Gordon Moore |publisher=Electronics |date=1965-04-19 |accessdate=2016-07-01}}</ref> |name=orig_paper}} and projected this rate of growth would continue for at least another decade.<ref name="Moore1965paper">{{cite web
| ref=harv
| first=Gordon E.
| last = Moore
| authorlink = Gordon Moore
| date=1965
| url=http://www.cs.utexas.edu/~fussell/courses/cs352h/papers/moore.pdf
| title =Cramming more components onto integrated circuits
| page =4
| publisher=[[Electronics (magazine)|Electronics Magazine]]
| accessdate = 2006-11-11
}}</ref> In 1975,<ref>{{cite web|last1=Moore |first1=Gordon |title=Progress In Digital Integrated Electronics |url=http://www.eng.auburn.edu/~agrawvd/COURSE/E7770_Spr07/READ/Gordon_Moore_1975_Speech.pdf |accessdate=July 15, 2015 }}</ref> looking forward to the next decade,<ref>{{cite web|last1= Krzanich |first1= Brian |title=Edited Transcript of INTC earnings conference call |url=https://finance.yahoo.com/news/edited-transcript-intc-earnings-conference-041452244.html |quote= Just last quarter, we celebrated the 50th anniversary of Moore's Law. In 1965 when Gordon's paper was first published, he predicted a doubling of transistor density every year for at least the next 10 years. His prediction proved to be right. In 1975, looking ahead to the next 10 years, he updated his estimate to a doubling every 24 months. |date= July 15, 2015 |accessdate=July 16, 2015 }}</ref> he revised the forecast to doubling every two years.<ref name="Takahashi" /><ref name="Moore 2006">{{cite book |last=Moore |first=Gordon |editor-last=Brock |editor-first=David |title=Understanding Moore's Law: Four Decades of Innovation |publisher=Chemical Heritage Foundation |date=2006 |pages=67–84 |chapter=Chapter 7: Moore's law at 40 |chapter-url=http://www.chemheritage.org/Downloads/Publications/Books/Understanding-Moores-Law/Understanding-Moores-Law_Chapter-07.pdf |access-date=March 22, 2018 |isbn=978-0-941901-41-3|deadurl=yes |archiveurl=https://web.archive.org/web/20160304050107/http://www.chemheritage.org/Downloads/Publications/Books/Understanding-Moores-Law/Understanding-Moores-Law_Chapter-07.pdf |archivedate=2016-03-04}}</ref><ref name="Intel 2011-05">{{cite press release |title= Over 6 Decades of Continued Transistor Shrinkage, Innovation |url=http://www.intel.com/content/www/us/en/silicon-innovations/standards-22-nanometers-technology-backgrounder.html |location=Santa Clara, California |publisher= Intel Corporation |agency=Intel Corporation |date=2011-05-01 |quote= 1965: Moore's Law is born when Gordon Moore predicts that the number of transistors on a chip will double roughly every year (a decade later, revised to every 2 years) |access-date=2015-03-15}}</ref> The period is often quoted as 18 months because of a prediction by Intel executive David House (being a combination of the effect of more transistors and the transistors being faster).<ref name="news.cnet.com">{{cite web |url=http://news.cnet.com/2100-1001-984051.html |title=Moore's Law to roll on for another decade |quote=Moore also affirmed he never said transistor count would double every 18 months, as is commonly said. Initially, he said transistors on a chip would double every year. He then recalibrated it to every two years in 1975. David House, an Intel executive at the time, noted that the changes would cause computer performance to double every 18 months. |accessdate=2011-11-27}}</ref>

Moore's prediction proved accurate for several decades, and has been used in the [[semiconductor]] industry to guide long-term planning and to set targets for [[research and development]].<ref name=Disco1998>{{Cite book
| last = Disco
| first = Cornelius
| last2 = van der Meulen
| first2 = Barend
| year = 1998
| title = Getting new technologies together
| pages = 206–207
| isbn = 978-3-11-015630-0
| publisher = Walter de Gruyter
| location = New York
| oclc = 39391108
| url = https://books.google.com/books?id=1khslZ-jbgEC&pg=PA206
| accessdate = August 23, 2008
}}</ref>
Advancements in digital electronics are strongly linked to Moore's law: [[Price index#Quality change|quality-adjusted]] [[microprocessor]] prices,<ref name="Byrne2013a">{{cite conference |last=Byrne |first=David M. |last2=Oliner |first2=Stephen D. |last3=Sichel |first3=Daniel E. |title=Is the Information Technology Revolution Over? |url=http://www.federalreserve.gov/pubs/feds/2013/201336/201336pap.pdf |format=PDF |conference=Finance and Economics Discussion Series Divisions of Research & Statistics and Monetary Affairs Federal Reserve Board |conferenceurl=http://www.federalreserve.gov/pubs/feds/ |publisher=Federal Reserve Board Finance and Economics Discussion Series (FEDS) |place=Washington, D.C. |date=March 2013 |deadurl=no |archiveurl=https://web.archive.org/web/20140609182110/http://www.federalreserve.gov/pubs/feds/2013/201336/201336pap.pdf |archivedate=2014-06-09 |quote=technical progress in the semiconductor industry has continued to proceed at a rapid pace ... Advances in semiconductor technology have driven down the constant-quality prices of MPUs and other chips at a rapid rate over the past several decades. }}</ref> [[Random-access memory|memory capacity]], [[digital sensor|sensors]] and even the number and size of [[pixel]]s in [[digital camera]]s.<ref>{{cite news | title = Moore's Law Corollary: Pixel Power | first = Nathan | last = Myhrvold | work = New York Times | date = June 7, 2006 | url = https://www.nytimes.com/2006/06/07/technology/circuits/07essay.html |accessdate=2011-11-27}}</ref> Digital electronics has contributed to world economic growth in the late twentieth and early twenty-first centuries.<ref>
{{Cite news
| first = Jonathan
| last = Rauch
| author-link = Jonathan Rauch
| date = January 2001
| title = The New Old Economy: Oil, Computers, and the Reinvention of the Earth
| magazine = [[The Atlantic Monthly]]
| url = https://www.theatlantic.com/issues/2001/01/rauch.htm
| accessdate = November 28, 2008
}}</ref>
Moore's law describes a driving force of technological and social change, [[Productivity#Labor productivity|productivity]], and [[Economic growth#Factors affecting economic growth|economic growth]].<ref>
{{Cite news
| first = Robert W.
| last = Keyes
| date = September 2006
| title = The Impact of Moore's Law
| magazine = Solid State Circuits Newsletter
| url = http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=4785857
| accessdate=November 28, 2008 }}
</ref><ref>{{Cite journal
| first = David E.
| last = Liddle
| date = September 2006
| title = The Wider Impact of Moore's Law
| journal = Solid State Circuits Newsletter
| url = http://www.ieee.org/portal/site/sscs/menuitem.f07ee9e3b2a01d06bb9305765bac26c8/index.jsp?&pName=sscs_level1_article&TheCat=2165&path=sscs/06Sept&file=Liddle.xml
| accessdate = November 28, 2008 }}
</ref><ref name="Kendrick 1961 3">{{cite book
|title=Productivity Trends in the United States
|last=Kendrick
|first=John W.
|year=1961 |publisher= Princeton University Press for NBER|location= |isbn= |page= 3|url= }}</ref><ref name=Jorgenson01/>

Moore's law is an [[observation]] and [[Forecasting|projection]] of a historical trend and not a [[physical law|physical]] or [[natural law]]. Although the rate held steady from 1975 until around 2012, the rate was faster during the first decade. In general, it is not logically sound to extrapolate from the historical growth rate into the indefinite future. For example, the 2010 update to the [[International Technology Roadmap for Semiconductors]] predicted that growth would slow around 2013,<ref>{{cite web|url=http://www.itrs.net/Links/2010ITRS/2010Update/ToPost/2010Tables_ORTC_ITRS.xls |title=Overall Technology Roadmap Characteristics |publisher=[[International Technology Roadmap for Semiconductors]] |year=2010 |accessdate=2013-08-08}}</ref> and in 2015 Gordon Moore foresaw that the rate of [[Exponential growth|progress]] would reach [[Logistic function|saturation]]: "I see Moore's law dying here in the next decade or so."<ref name="Moore 2015a">{{cite interview |last=Moore |first=Gordon |interviewer=Rachel Courtland |title=Gordon Moore: The Man Whose Name Means Progress, The visionary engineer reflects on 50 years of Moore's Law |magazine=IEEE Spectrum: Special Report: 50 Years of Moore's Law |url=http://spectrum.ieee.org/computing/hardware/gordon-moore-the-man-whose-name-means-progress |quote=We won't have the rate of progress that we've had over the last few decades. I think that's inevitable with any technology; it eventually saturates out. I guess I see Moore's law dying here in the next decade or so, but that's not surprising. |date=March 30, 2015}}</ref>

Intel stated in 2015 that the pace of advancement has slowed, starting at the [[22 nm]] feature width around 2012, and continuing at [[14 nm]].<ref>{{cite web|url=http://files.shareholder.com/downloads/INTC/867590276x0xS50863-16-105/50863/filing.pdf|title= INTEL CORP, FORM 10-K (Annual Report), Filed 02/12/16 for the Period Ending 12/26/15|publisher=}}</ref> [[Brian Krzanich]], the former CEO of Intel, announced, "Our cadence today is closer to two and a half years than two."<ref>{{cite news | title = Intel Rechisels the Tablet on Moore's Law | first = Don | last = Clark | work = Wall Street Journal Digits Tech News and Analysis | date = July 15, 2015 | url = https://blogs.wsj.com/digits/2015/07/16/intel-rechisels-the-tablet-on-moores-law/ |quote=The last two technology transitions have signaled that our cadence today is closer to two and a half years than two |accessdate=2015-07-16}}</ref> Intel also stated in 2017 that [[Hyperscale|hyperscaling]] would be able to continue the trend of Moore's law and offset the increased cadence by aggressively scaling beyond the typical doubling of transistors.<ref>{{cite news | title = Intel’s 10 nm Technology: Delivering the Highest Logic Transistor Density in the Industry Through the Use of Hyper Scaling | date = 2017 | url = https://newsroom.intel.com/newsroom/wp-content/uploads/sites/11/2017/09/10-nm-icf-fact-sheet.pdf |accessdate=2018-05-07}}</ref> Krzanich cited Moore's 1975 revision as a precedent for the current deceleration, which results from technical challenges and is "a natural part of the history of Moore's law".<ref>{{cite news | title = Intel chief raises doubts over Moore's law | first = Tim | last = Bradshaw | work = Financial Times| date = July 16, 2015 | url = http://www.ft.com/cms/s/0/36b722bc-2b49-11e5-8613-e7aedbb7bdb7.html | accessdate=2015-07-16}}</ref><ref>{{cite news | title = As Intel co-founder's law slows, a rethinking of the chip is needed | first = Richard | last = Waters| work = Financial Times| date = July 16, 2015 | url = http://www.ft.com/intl/cms/s/0/4d8dabaa-2bd5-11e5-acfb-cbd2e1c81cca.html}}</ref><ref>{{cite news | title = Intel pushes 10nm chip-making process to 2017, slowing Moore's Law | first = James | last = Niccolai | work = Infoworld | date = July 15, 2015 | url = http://www.infoworld.com/article/2949153/hardware/intel-pushes-10nm-chipmaking-process-to-2017-slowing-moores-law.html |quote=It's official: Moore's Law is slowing down.&nbsp;... "These transitions are a natural part of the history of Moore's Law and are a by-product of the technical challenges of shrinking transistors while ensuring they can be manufactured in high volume", Krzanich said. |accessdate=2015-07-16}}</ref>

==History==
[[File:Gordon Moore.jpg|thumb|200px|[[Gordon Moore]] in 2004]]
In 1959, [[Douglas Engelbart]] discussed the projected downscaling of [[integrated circuit]] size in the article "Microelectronics, and the Art of Similitude".<ref name=markoff>{{cite news|last=Markoff|first=John|title=It's Moore's Law But Another Had The Idea First|url=https://www.nytimes.com/2005/04/18/technology/18moore.html|accessdate=October 4, 2011|newspaper=The New York Times|date=April 18, 2005|archiveurl=https://www.webcitation.org/62Ai5rX4b?url=http://www.nytimes.com/2005/04/18/technology/18moore.html|archivedate=October 4, 2011|deadurl=yes|df=}}</ref><ref>{{cite news |url=https://www.nytimes.com/2009/09/01/science/01trans.html?ref=science |title=After the Transistor, a Leap Into the Microcosm |publisher=The New York Times |date=August 31, 2009 |accessdate=2009-08-31 |first=John |last=Markoff}}</ref> Engelbart presented his ideas at the 1960 [[International Solid-State Circuits Conference]], where Moore was present in the audience.<ref>{{cite news|last=Markoff|first=John|title=Smaller, Faster, Cheaper, Over: The Future of Computer Chips|url=https://www.nytimes.com/2015/09/27/technology/smaller-faster-cheaper-over-the-future-of-computer-chips.html|accessdate=September 28, 2015|newspaper=The New York Times|date=September 27, 2015}}</ref>

For the thirty-fifth anniversary issue of ''[[Electronics Magazine|Electronics]]'' magazine, which was published on April 19, 1965, Gordon E. Moore, who was working as the director of research and development at [[Fairchild Semiconductor]] at the time, was asked to predict what was going to happen in the semiconductor components industry over the next ten years. His response was a brief article entitled, "Cramming more components onto integrated circuits".<ref>{{cite web|last1=Evans|first1=Dean|title=Moore's Law: how long will it last?|url=http://www.techradar.com/news/computing/moore-s-law-how-long-will-it-last--1226772|website=www.techradar.com|accessdate=November 25, 2014}}</ref> Within his editorial, he speculated that by 1975 it would be possible to contain as many as 65,000 components on a single quarter-inch semiconductor.

<blockquote>
The complexity for minimum component costs has increased at a rate of roughly a factor of two per year. Certainly over the short term this rate can be expected to continue, if not to increase. Over the longer term, the rate of increase is a bit more uncertain, although there is no reason to believe it will not remain nearly constant for at least 10 years.
</blockquote>

His reasoning was a log-linear relationship between device complexity (higher circuit density at reduced cost) and time.<ref name=schaller>{{cite journal|last1=Schaller|first1=Bob|title=The Origin, Nature, and Implications of "MOORE'S LAW"|date=September 26, 1996|url=http://research.microsoft.com/en-us/um/people/gray/moore_law.html|accessdate=September 10, 2014|publisher=Microsoft}}</ref><ref name="Tuomi2002">{{Cite journal | doi = 10.5210/fm.v7i11.1000| title = The Lives and Death of Moore's Law| journal = First Monday| volume = 7| issue = 11| year = 2002| last1 = Tuomi | first1 = I. }}</ref>

At the 1975 [[IEEE International Electron Devices Meeting]], Moore revised the forecast rate.<ref name="Takahashi" >{{cite news |last=Takahashi |first=Dean |date=April 18, 2005 |title=Forty years of Moore's law |url=http://www.seattletimes.com/business/forty-years-of-moores-law/ |newspaper=Seattle Times |location=San Jose, CA |quote=A decade later, he revised what had become known as Moore's Law: The number of transistors on a chip would double every two years. |access-date=April 7, 2015 }}</ref><ref name="Moore 1975b" >{{cite journal |last1=Moore |first1=Gordon |title=IEEE Technical Digest 1975 |date=1975 |url=http://www.eng.auburn.edu/~agrawvd/COURSE/E7770_Spr07/READ/Gordon_Moore_1975_Speech.pdf |accessdate=April 7, 2015 |publisher=Intel Corp. |quote=... the rate of increase of complexity can be expected to change slope in the next few years as shown in Figure 5. The new slope might approximate a doubling every two years, rather than every year, by the end of the decade. }}</ref> Semiconductor complexity would continue to double annually until about 1980 after which it would decrease to a rate of doubling approximately every two years.<ref name="Moore 1975b"/> He outlined several contributing factors for this exponential behavior:<ref name="schaller"/><ref name="Tuomi2002"/>
* die sizes were increasing at an exponential rate and as defective densities decreased, chip manufacturers could work with larger areas without losing reduction yields;
* simultaneous evolution to finer minimum dimensions;
* and what Moore called "circuit and device cleverness".

Shortly after 1975, [[Caltech]] professor [[Carver Mead]] popularized the term "Moore's law".<ref name="IntelInterview">{{cite book
|editor-last1=Brock
|editor-first1=David C.
|title=Understanding Moore's law: four decades of innovation
|date=2006|publisher=Chemical Heritage Foundation
|location=Philadelphia, Pa
|isbn=978-0941901413
}}</ref><ref name="SSCSnewsletterSept06">in reference to [[Gordon E. Moore]]'s statements at the IEEE.
{{cite web |date=September 2006 |url=http://www.ieee.org/sscs-news |archiveurl=https://web.archive.org/web/20070713083830/http://www.ieee.org/portal/site/sscs/menuitem.f07ee9e3b2a01d06bb9305765bac26c8/index.jsp?&pName=sscs_level1_article&TheCat=2165&path=sscs%2F06Sept&file=Gelsinger.xml |archivedate=2007-07-13 |title=Moore's Law – The Genius Lives On |publisher=IEEE solid-state circuits society newsletter |deadurl=yes |df= }}</ref>

Despite a popular misconception, Moore is adamant that he did not predict a doubling "every 18 months". Rather, David House, an Intel colleague, had factored in the increasing performance of transistors to conclude that integrated circuits would double in ''performance'' every 18&nbsp;months. <ref>{{Cite web|url=https://www.pressreader.com/usa/technowize-magazine/20170501/282445643992141|title=PressReader.com - Connecting People Through News|website=www.pressreader.com|access-date=2018-08-24}}</ref>

<!-- remove repetitive material; left here in case there is something worth adding back
Moore's original statement that transistor counts had doubled every year can be found in his publication "Cramming more components onto integrated circuits", ''[[Electronics (magazine)|Electronics Magazine]]'' April 19, 1965. The paper noted that the number of components in integrated circuits had doubled every year from the invention of the integrated circuit in 1958 until 1965{{sfn|Moore|1965|p=5}} and then concluded:
{{Quote|The complexity for minimum component costs has increased at a rate of roughly a factor of two per year. Certainly over the short term this rate can be expected to continue, if not to increase. Over the longer term, the rate of increase is a bit more uncertain, although there is no reason to believe it will not remain nearly constant for at least 10 years. That means by 1975, the number of components per integrated circuit for minimum cost will be 65,000. I believe that such a large circuit can be built on a single wafer.<ref name="Moore1965paper">{{cite web| year =1965|url=ftp://download.intel.com/museum/Moores_Law/Articles-Press_Releases/Gordon_Moore_1965_Article.pdf| title =Cramming more components onto integrated circuits| format =PDF| page =4| work=[[Electronics Magazine]]| accessdate = 2006-11-11}}</ref>}}

Moore slightly altered the formulation of the law over time, in retrospect bolstering the perceived accuracy of his prediction.<ref>{{cite web| year =2006|url=http://www2.computer.org/portal/web/csdl/doi/10.1109/MAHC.2006.45| title =Establishing Moore's Law| work=[[IEEE Annals of the History of Computing]]| first = Ethan | last = Mollick|accessdate = 2008-10-18}}</ref> Most notably, in 1975, Moore altered his projection to a doubling every ''two'' years.<ref>{{cite web| year=1975|url=http://ieeexplore.ieee.org/xpls/abs_all.jsp?arnumber=1478174 |publisher=IEEE |title=Progress in digital integrated electronics|last = Moore | first = G.E. |accessdate=2011-11-27}}</ref><ref name="auto">{{cite web |url=ftp://download.intel.com/museum/Moores_Law/Video-Transcripts/Excepts_A_Conversation_with_Gordon_Moore.pdf |title=Excerpts from A Conversation with Gordon Moore: Moore's Law |publisher=Intel |accessdate=2011-08-22}}</ref> Moore later refined the period to two years.<ref name="auto"/> In this second source Moore also suggests that the version that is often quoted as "18 months" is due to David House, an Intel executive, who predicted that period for a doubling in chip performance (being a combination of the effect of more transistors and them being faster).<ref name="news.cnet.com"/> |name=18months |group=note}}
-->
[[File:Evolution (34 365).jpg|thumb|350px|An [[Osborne Executive]] portable computer, from 1982, with a [[Zilog Z80]] 4&nbsp;MHz CPU, and a 2007 [[Apple Inc.|Apple]] [[iPhone]] with a 412&nbsp;MHz [[ARM11]] CPU; the Executive weighs 100 times as much, has nearly 500 times the volume, costs approximately 10 times as much (adjusted for inflation), and has about 1/100th the [[clock frequency]] of the [[smartphone]].]]

Moore's law came to be widely accepted as a goal for the industry, and it was cited by competitive [[semiconductor]] manufacturers as they strove to increase processing power. Moore viewed his eponymous law as surprising and optimistic: "Moore's law is a violation of [[Murphy's law]]. Everything gets better and better."<ref>{{cite news|url=http://economist.com/displaystory.cfm?story_id=3798505| title = Moore's Law at 40 – Happy birthday|date=2005-03-23| publisher=The Economist| accessdate = 2006-06-24}}</ref> The observation was even seen as a [[self-fulfilling prophecy]].<ref name=Disco1998/><ref>{{cite web
| url = http://www.theinquirer.net/inquirer/news/1014782/gordon-moore-aloha-moore-law
| title = Gordon Moore Says Aloha to Moore's Law
| publisher = the Inquirer
| date = April 13, 2005
| accessdate = September 2, 2009
}}</ref> However, the rate of improvement in physical dimensions known as [[Dennard scaling]] has slowed in recent years; and the industry shifted in about 2016 from using semiconductor scaling as a driver to more of a focus on meeting the needs of major computing applications.<ref name=Disco1998/><ref>{{cite journal
|author1=Thomas M. Conte |author2=Elie Track |author3=Erik DeBenedictis | title = Rebooting Computing: New Strategies for Technology Scaling
|journal=Computer |volume=48 |issue=12 |pages=10–13 | quote = Year-over-year exponential computer performance scaling has ended. Complicating this is the coming disruption of the "technology escalator" underlying the industry: Moore's law.
| date = December 2015
|doi=10.1109/MC.2015.363 }}</ref>

In April 2005, [[Intel]] offered US$10,000 to purchase a copy of the original ''Electronics'' issue in which Moore's article appeared.<ref>{{cite web|url=http://www.zdnet.com/news/intel-offers-10000-for-moores-law-magazine/142261|title=Intel offers $10,000 for Moore's Law magazine|last=Kanellos|first=Michael|date=2005-04-11|publisher=ZDNET News.com|accessdate=2013-06-21}}</ref> An engineer living in the United Kingdom was the first to find a copy and offer it to Intel.<ref>{{cite news|url=http://news.bbc.co.uk/1/hi/technology/4472549.stm|title=Moore's Law original issue found|date=2005-04-22|accessdate=2012-08-26|publisher=[[BBC News|BBC News Online]]}}</ref>

===Moore's second law===
{{further information|Rock's law}}

As the cost of computer power to the [[consumer]] falls, the cost for producers to fulfill Moore's law follows an opposite trend: R&D, manufacturing, and test costs have increased steadily with each new generation of chips. Rising manufacturing costs are an important consideration for the sustaining of Moore's law.<ref>{{cite web| first = Sumner | last = Lemon | first2 = Tom | last2 = Krazit |url=http://www.infoworld.com/article/2669732/computer-hardware/with-chips--moore-s-law-is-not-the-problem.html |title=With chips, Moore's Law is not the problem |publisher=Infoworld |date=2005-04-19 |accessdate=2011-08-22}}</ref>
This had led to the formulation of Moore's second law, also called [[Rock's law]], which is that the [[financial capital|capital]] cost of a [[fab (semiconductors)|semiconductor fab]] also increases exponentially over time.<ref>{{cite web|url=http://www.edavision.com/200111/feature.pdf |publisher=EDA Vision |title=Does Moore's Law Still Hold Up? | first = Jeff | last = Dorsch |accessdate=2011-08-22}}</ref><ref>{{cite web|url=http://research.microsoft.com/~gray/Moore_Law.html |title=The Origin, Nature, and Implications of "Moore's Law" | first = Bob | last = Schaller |publisher=Research.microsoft.com |date=1996-09-26 |accessdate=2011-08-22}}</ref>

==Major enabling factors==

[[File:NAND scaling timeline.png|thumb|450px|The trend of scaling for NAND flash memory allows doubling of components manufactured in the same wafer area in less than 18 months.]]
Numerous innovations by scientists and engineers have sustained Moore's law since the beginning of the integrated circuit (IC) era. Some of the key innovations are listed below, as examples of breakthroughs that have advanced [[integrated circuit]] technology by more than seven orders of magnitude in less than five decades:
* The foremost contribution, which is the ''raison d'être'' for Moore's law, is the invention of the [[integrated circuit]], credited contemporaneously to [[Jack Kilby]] at Texas Instruments<ref>Kilby, J., "Miniaturized electronic circuits", {{patent|US|3138743}}, issued June 23, 1964 (filed February 6, 1959).</ref> and [[Robert Noyce]] at Fairchild Semiconductor.<ref>Noyce, R., "Semiconductor device-and-lead structure", {{patent|US|2981877}}, issued April 25, 1961 (filed July 30, 1959).</ref>
* The invention of the complementary metal-oxide-semiconductor ([[CMOS]]) process by [[Frank Wanlass]] in 1963,<ref>Wanlass, F., "Low stand-by power complementary field effect circuitry", {{patent|US|3356858}}, issued December 5, 1967 (filed June 18, 1963).</ref> and a number of advances in CMOS technology by many workers in the semiconductor field since the work of Wanlass, have enabled the extremely dense and high-performance ICs that the industry makes today.
* The invention of dynamic random-access memory ([[DRAM]]) technology by [[Robert H. Dennard|Robert Dennard]] at [[IBM]] in 1967<ref>Dennard, R., "Field-effect transistor memory", {{patent|US|3387286}}, issued June 4, 1968 (filed July 14, 1967)</ref> made it possible to fabricate single-transistor memory cells, and the invention of [[flash memory]] by [[Fujio Masuoka]] at Toshiba in the 1980s<ref>{{cite web|last=Fulford|first= Benjamin|title=Unsung hero|work=Forbes|date=June 24, 2002|accessdate=March 18, 2008|url= https://www.forbes.com/global/2002/0624/030.html}}</ref><ref>{{patent|US|4531203|Fujio Masuoka}}</ref><ref>{{cite book |chapter-url=http://ieeexplore.ieee.org/xpl/articleDetails.jsp?arnumber=1487443 |last1=Masuoka |first1=F. |title=1987 International Electron Devices Meeting |pages=552–555 |last2=Momodomi |first2=M. |last3=Iwata |first3=Y. |last4=Shirota |first4=R. |year=1987 |work=Electron Devices Meeting, 1987 International |publisher=[[IEEE]] |accessdate=January 4, 2013|doi=10.1109/IEDM.1987.191485 |chapter=New ultra high density EPROM and flash EEPROM with NAND structure cell }}</ref> led to low-cost, high-capacity memory in diverse electronic products.
* The invention of [[Photoresist#Chemical amplification|chemically-amplified photoresist]] by Hiroshi Ito, C. Grant Willson and J. M. J. Fréchet at IBM c. 1980<ref>{{US patent|4491628}} "Positive and Negative Working Resist Compositions with Acid-Generating Photoinitiator and Polymer with Acid-Labile Groups Pendant From Polymer Backbone" J. M. J. Fréchet, H. Ito and C. G. Willson 1985.[http://patft.uspto.gov/netacgi/nph-Parser?Sect2=PTO1&Sect2=HITOFF&p=1&u=%2Fnetahtml%2Fsearch-bool.html&r=1&f=G&l=50&d=PALL&RefSrch=yes&Query=PN%2F4491628]</ref><ref name=Ito01>{{cite journal| last1 = Ito | first1 = H. | last2 = Willson | first2 = C. G. |journal=Polymer Engineering & Science |volume=23|issue=18|page=204|year=1983|title=Chemical amplification in the design of dry developing resist material | doi = 10.1002/pen.760231807 }}</ref><ref name=Ito02>{{cite journal| last1 = Ito | first1 = Hiroshi | first2 = C. Grant | last2 = Willson | first3 = Jean H. J. | last3 = Frechet |journal= VLSI Technology, 1982. Digest of Technical Papers. Symposium on |year=1982 |title=New UV resists with negative or positive tone }}</ref> that was 5-10 times more sensitive to ultraviolet light.<ref name="Brock">{{cite news |url=https://www.sciencehistory.org/distillations/magazine/patterning-the-world-the-rise-of-chemically-amplified-photoresists |title=Patterning the World: The Rise of Chemically Amplified Photoresists |work=Chemical Heritage Magazine|publisher=[[Chemical Heritage Foundation]] |date=2007-10-01 |first= David C. |last=Brock|accessdate=27 March 2018 }}</ref> IBM introduced chemically amplified photoresist for DRAM production in the mid-1980s.<ref>Lamola, Angelo A., et al. "Chemically amplified resists". Solid State Technology, Aug. 1991, p. 53+.{{cite web|url=http://go.galegroup.com/ps/anonymous?p=AONE&sw=w&issn=0038111X&v=2.1&it=r&id=GALE%7CA11137024&sid=googleScholar&linkaccess=fulltext&authCount=1&isAnonymousEntry=true |title=Chemically amplified resists |access-date=2017-11-01|date=August 1991 }}</ref><ref>{{cite web|url=http://researcher.watson.ibm.com/researcher/files/us-saswans/05389371.pdf |title=Chemical amplification resists: History and development within IBM |publisher=[[IBM Journal of Research and Development]] |first=Hiroshi |last=Ito |year=2000 |accessdate=2014-05-20}}</ref>
* The invention of deep UV excimer laser [[photolithography]] by Kanti Jain<ref name=Jain_Willson>{{US patent reference
| number = US 4458994 A
| y = 1984
| m = 07
| d = 10
| inventor = Kantilal Jain, Carlton G. Willson
| title = High resolution optical lithography method and apparatus having excimer laser light source and stimulated Raman shifting
}}</ref> at IBM c.1980<ref name=ieee1982>Jain, K. et al, "Ultrafast deep-UV lithography with excimer lasers", IEEE Electron Device Lett., Vol. EDL-3, 53 (1982); http://ieeexplore.ieee.org/xpl/freeabs_all.jsp?arnumber=1482581</ref><ref name=spie1990>Jain, K. "Excimer Laser Lithography", SPIE Press, Bellingham, WA, 1990.</ref><ref name=LaFontaine>La Fontaine, B., "Lasers and Moore's Law", SPIE Professional, Oct. 2010, p. 20; http://spie.org/x42152.xml</ref> has enabled the smallest features in ICs to shrink from [[800 nanometer]]s in 1990 to as low as 10 nanometers in 2016.<ref name=Samsung10nm>Samsung Starts Industry’s First Mass Production of System-on-Chip with 10-Nanometer FinFET Technology; https://news.samsung.com/global/samsung-starts-industrys-first-mass-production-of-system-on-chip-with-10-nanometer-finfet-technology</ref> Prior to this, [[excimer laser]]s had been mainly used as research devices since their development in the 1970s.<ref>Basov, N. G. et al., Zh. Eksp. Fiz. i Tekh. Pis'ma. Red. 12, 473(1970).</ref><ref>{{cite journal | last1 = Burnham | first1 = R. | last2 = Djeu | first2 = N. | year = 1976 | title = Ultraviolet‐preionized discharge‐pumped lasers in XeF, KrF, and ArF| doi = 10.1063/1.88934 | journal = Appl. Phys. Lett. | volume = 29 | issue = 11| page = 707 | bibcode = 1976ApPhL..29..707B }}</ref> From a broader scientific perspective, the invention of excimer laser lithography has been highlighted as one of the major milestones in the 50-year history of the laser.<ref>{{citation|title=Lasers in Our Lives / 50 Years of Impact|url=http://www.stfc.ac.uk/Resources/PDF/Lasers50_final1.pdf|publisher=U.K. Engineering and Physical Sciences Research Council|accessdate=2011-08-22|deadurl=yes|archiveurl=https://web.archive.org/web/20110913160302/http://www.stfc.ac.uk/Resources/PDF/Lasers50_final1.pdf|archivedate=2011-09-13|df=}}</ref><ref>{{cite web |publisher=SPIE |title=50 Years Advancing the Laser |url=http://spie.org/Documents/AboutSPIE/SPIE%20Laser%20Luminaries.pdf |accessdate=2011-08-22}}</ref>
* The [[Semiconductor fabrication#Back-end-of-line (BEOL) processing|interconnect]] innovations of the late 1990s, including chemical-mechanical polishing or [[Chemical-mechanical planarization|chemical mechanical planarization]] (CMP), trench isolation, and copper interconnects—although not directly a factor in creating smaller transistors—have enabled improved [[Wafer (electronics)|wafer]] yield, additional [[Technology node#Interconnect|layers of metal]] wires, closer spacing of devices, and lower electrical resistance.<ref name="Moore_2003">{{cite conference |last=Moore |first=Gordon E.|authorlink=Gordon Moore |title=transcription of Gordon Moore's Plenary Address at ISSCC 50th Anniversary |url=http://isscc.org/doc/50th/Moore_Transcript.pdf |format=PDF |booktitle=transcription "Moore on Moore: no Exponential is forever" |conference=2003 IEEE International Solid-State Circuits Conference |conferenceurl=http://isscc.org/ |publisher=ISSCC |place=San Francisco, California |date=2003-02-10 |archivedate=2010-03-31 }}</ref><ref name="Steigerwald">{{Cite book | doi = 10.1109/IEDM.2008.4796607| chapter = Chemical mechanical polish: The enabling technology| title = 2008 IEEE International Electron Devices Meeting| pages = 1–4| year = 2008| last1 = Steigerwald | first1 = J. M. | isbn = 978-1-4244-2377-4}} "Table1: 1990 enabling multilevel metallization; 1995 enabling STI compact isolation, polysilicon patterning and yield / defect reduction"</ref><ref>{{cite web |url=http://www-03.ibm.com/ibm/history/ibm100/us/en/icons/copperchip/ |title=IBM100 – Copper Interconnects: The Evolution of Microprocessors |accessdate=October 17, 2012|date=2012-03-07 }}</ref>

Computer industry technology road maps predicted in 2001 that Moore's law would continue for several generations of semiconductor chips. Depending on the doubling time used in the calculations, this could mean up to a hundredfold increase in transistor count per chip within a decade. The semiconductor industry technology roadmap used a three-year doubling time for [[microprocessor]]s, leading to a tenfold increase in a decade.<ref name="International Technology Roadmap">{{cite web |url=http://public.itrs.net/ |title=International Technology Roadmap for Semiconductors |accessdate=2011-08-22 |deadurl=yes |archiveurl=https://web.archive.org/web/20110825075240/http://public.itrs.net/ |archivedate=2011-08-25 |df= }}</ref> Intel was reported in 2005 as stating that the downsizing of [[silicon]] chips with good economics could continue during the following decade,{{#tag:ref|The trend begins with the invention of the integrated circuit in 1958. See the graph on the bottom of page 3 of Moore's original presentation of the idea.<ref name=Moore1965/> |name=newlife |group=note}} and in 2008 as predicting the trend through 2029.<ref name=noend>{{cite web| url=http://java.sys-con.com/read/557154.htm | title=Moore's Law: "We See No End in Sight," Says Intel's Pat Gelsinger |date=2008-05-01|publisher=SYS-CON | accessdate = 2008-05-01}}</ref>

===Recent trends===
[[File:Threshold formation nowatermark.gif|thumb|450px|An [[atomistic]] simulation for electron density as gate voltage (Vg) varies in a [[nanowire]] MOSFET. The threshold voltage is around 0.45&nbsp;V. Nanowire MOSFETs lie toward the end of the ITRS road map for scaling devices below 10&nbsp;nm gate lengths. A [[FinFET]] has three sides of the channel covered by gate, while some nanowire transistors have gate-all-around structure, providing better gate control.<ref name="International Technology Roadmap"/>]]

One of the key challenges of engineering future nanoscale transistors is the design of gates. As device dimension shrinks, controlling the current flow in the thin channel becomes more difficult. Compared to [[FinFET]]s, which have gate dielectric on three sides of the channel, gate-all-around structure has ever better gate control.
* In 2010, researchers at the Tyndall National Institute in Cork, Ireland announced a junctionless transistor. A control gate wrapped around a silicon nanowire can control the passage of electrons without the use of junctions or doping. They claim these may be produced at 10-nanometer scale using existing fabrication techniques.<ref>{{cite web |url=http://spectrum.ieee.org/nanoclast/semiconductors/nanotechnology/junctionless-transistor-fabricated-from-nanowires| title =Junctionless Transistor Fabricated from Nanowires|date=2010-02-22| first = Dexter | last = Johnson|publisher=IEEE Spectrum| accessdate = 2010-04-20}}</ref>
* In 2011, researchers at the University of Pittsburgh announced the development of a single-electron transistor, 1.5 nanometers in diameter, made out of oxide based materials. Three "wires" converge on a central "island" that can house one or two electrons. Electrons tunnel from one wire to another through the island. Conditions on the third wire result in distinct conductive properties including the ability of the transistor to act as a solid state memory.<ref>{{cite journal |url=http://www.sciencedaily.com/releases/2011/04/110418135541.htm |title=Super-small transistor created: Artificial atom powered by single electron |doi=10.1038/nnano.2011.56 |pmid=21499252 |date=2011-04-19 |accessdate=2011-08-22|bibcode = 2011NatNa...6..343C |volume=6 |issue=6 |journal=Nature Nanotechnology |pages=343–347|last1=Cheng |first1=Guanglei |last2=Siles |first2=Pablo F. |last3=Bi |first3=Feng |last4=Cen |first4=Cheng |last5=Bogorin |first5=Daniela F. |last6=Bark |first6=Chung Wung |last7=Folkman |first7=Chad M. |last8=Park |first8=Jae-Wan |last9=Eom |first9=Chang-Beom |last10=Medeiros-Ribeiro |first10=Gilberto |last11=Levy |first11=Jeremy }}</ref> Nanowire transistors could spur the creation of microscopic computers.<ref>{{cite book|page=173|title=Physics of the Future|first = Michio | last = Kaku|publisher=Doubleday|date=2010|isbn=978-0-385-53080-4}}</ref><ref>{{cite journal|url=http://phys.org/news/2013-05-nanowire-transistors-law-alive.html |title=New nanowire transistors may help keep Moore's Law alive |doi=10.1039/C3NR33738C |pmid=23403487 | first = Bob | last = Yirka |date=2013-05-02 |accessdate=2013-08-08 |volume=5 |issue=6 |journal=Nanoscale |pages=2437–41|bibcode=2013Nanos...5.2437L }}</ref><ref>{{cite web|url=https://www.forbes.com/2007/06/05/nanotech-geim-graphene-pf-guru-in_jw_0605adviserqa_inl.html |title=Rejuvenating Moore's Law With Nanotechnology |publisher=Forbes |date=2007-06-05 |accessdate=2013-08-08}}</ref>
* In 2012, a research team at the [[University of New South Wales]] announced the development of the first working transistor consisting of a single atom placed precisely in a silicon crystal (not just picked from a large sample of random transistors).<ref>{{cite journal|title=A single-atom transistor|doi=10.1038/nnano.2012.21 |date=2011-12-16 |bibcode = 2012NatNa...7..242F|pmid=22343383|volume=7|issue=4|journal=Nat Nanotechnol|pages=242–6 | last1 = Fuechsle | first1 = M | last2 = Miwa | first2 = JA | last3 = Mahapatra | first3 = S | last4 = Ryu | first4 = H | last5 = Lee | first5 = S | last6 = Warschkow | first6 = O | last7 = Hollenberg | first7 = LC | last8 = Klimeck | first8 = G | last9 = Simmons | first9 = MY}}</ref> Moore's law predicted this milestone to be reached for ICs in the lab by 2020.
* In 2015, IBM demonstrated [[7 nm]] node chips with [[silicon-germanium]] transistors produced using [[EUVL]]. The company believes this transistor density would be four times that of current [[14 nm]] chips.<ref>{{cite news | url=https://www.wsj.com/articles/ibm-reports-advances-in-shrinking-future-chips-1436414814 | title=IBM Reports Advance in Shrinking Chip Circuitry | work=The Wall Street Journal | date=July 9, 2015 | accessdate=July 9, 2015}}</ref>
*

Revolutionary technology advances may help sustain Moore's law through improved performance with or without reduced feature size.
* In 2008, researchers at HP Labs announced a working [[memristor]], a fourth basic passive circuit element whose existence only had been theorized previously. The memristor's unique properties permit the creation of smaller and better-performing electronic devices.<ref name="Williams08">{{Cite journal
|last=Strukov|first=Dmitri B
|last2=Snider|first2=Gregory S
|last3=Stewart|first3=Duncan R
|last4=Williams|first4=Stanley R
|title=The missing memristor found
|journal=Nature
|volume=453
|pages=80–83
|year=2008
|doi=10.1038/nature06932
|pmid=18451858
|issue=7191|bibcode=2008Natur.453...80S}}</ref>
* In 2014, bioengineers at [[Stanford University]] developed a circuit modeled on the human brain. Sixteen "Neurocore" chips simulate one million neurons and billions of synaptic connections, claimed to be 9,000 times faster as well as more energy efficient than a typical PC.<ref>{{cite web|url=http://news.stanford.edu/pr/2014/pr-neurogrid-boahen-engineering-042814.html|title=Stanford bioengineers create circuit board modeled on the human brain - Stanford News Release|website=news.stanford.edu|date=2014-04-28}}</ref>
* In 2015, Intel and [[Micron Technology|Micron]] announced [[3D XPoint]], a [[non-volatile memory]] claimed to be significantly faster with similar density compared to NAND. Production scheduled to begin in 2016 was delayed until the second half of 2017.<ref>{{cite news|url=https://www.bbc.com/news/technology-33675734|title=3D Xpoint memory: Faster-than-flash storage unveiled|newspaper=BBC News|date=2015-07-28|last1=Kelion|first1=Leo}}</ref><ref>{{cite journal|url=https://www.wired.com/2015/07/3d-xpoint/|title=Intel's New Memory Chips Are Faster, Store Way More Data|date=July 28, 2015|journal=WIRED}}</ref><ref>{{Cite news |title= Intel’s first Optane SSD: 375GB that you can also use as RAM |work= Ars Technica |author= Peter Bright |date= March 19, 2017 |url= https://arstechnica.com/information-technology/2017/03/intels-first-optane-ssd-375gb-that-you-can-also-use-as-ram/ |access-date= March 31, 2017 }}</ref>

While physical limits to transistor scaling such as source-to-drain leakage, limited gate metals, and limited options for channel material have been reached, new avenues for continued scaling are open. The most promising of these approaches rely on using the spin state of electron [[spintronics]], [[tunnel junction]]s, and advanced confinement of channel materials via nano-wire geometry. A comprehensive list of available device choices shows that a wide range of device options is open for continuing Moore's law into the next few decades.<ref>{{cite journal|last1=Nikonov|first1=Dmitri E.|last2=Young|first2=Ian A.|date=2013-02-01|title=Overview of Beyond-CMOS Devices and A Uniform Methodology for Their Benchmarking|publisher=Cornell University Library|arxiv=1302.0244|bibcode=2013arXiv1302.0244N}}</ref> Spin-based logic and memory options are being developed actively in industrial labs,<ref>{{cite journal|last1=Manipatruni|first1=Sasikanth|last2=Nikonov|first2=Dmitri E.|last3=Young|first3=Ian A.|year=2016|title=Material Targets for Scaling All Spin Logic|journal=Physical Review Applied|volume=5|issue=1|pages=014002|arxiv=1212.3362|bibcode=2016PhRvP...5a4002M|doi=10.1103/PhysRevApplied.5.014002}}</ref> as well as academic labs.<ref>{{cite journal|date=2010-02-28|title=Proposal for an all-spin logic device with built-in memory|journal=Nature Nanotechnology|volume=5|issue=4|pages=266–270|bibcode=2010NatNa...5..266B|doi=10.1038/nnano.2010.31|pmid=20190748|last1=Behin-Aein|first1=Behtash|last2=Datta|first2=Deepanjan|last3=Salahuddin|first3=Sayeef|last4=Datta|first4=Supriyo}}</ref>

=== Alternative materials research ===
The vast majority of current transistors on ICs are composed principally of [[Doping (semiconductor)|doped]] silicon and its alloys. As silicon is fabricated into single nanometer transistors, [[short-channel effect]]s adversely change desired material properties of silicon as a functional transistor. Below are several non-silicon substitutes in the fabrication of small nanometer transistors.

One proposed material is [[Indium gallium arsenide#Applications|indium gallium arsenide]], or InGaAs. Compared to their silicon and germanium counterparts, InGaAs transistors are more promising for future high-speed, low-power logic applications. Because of intrinsic characteristics of [[List of semiconductor materials#Compound semiconductors|III-V compound semiconductors]], quantum well and [[Tunnel field-effect transistor|
tunnel]] effect transistors based on InGaAs have been proposed as alternatives to more traditional [[MOSFET]] designs.
* In 2009, Intel announced the development of 80-nanometer InGaAs [[quantum well]] transistors. Quantum well devices contain a material sandwiched between two layers of material with a wider band gap. Despite being double the size of leading pure silicon transistors at the time, the company reported that they performed equally as well while consuming less power.<ref>{{cite book |title=Logic performance evaluation and transport physics of Schottky-gate III-V compound semiconductor quantum well field effect transistors for power supply voltages (VCC) ranging from 0.5v to 1.0v |pages=1–4 |publisher=IEEE |date=2009-12-07 |chapter-url=http://ieeexplore.ieee.org/stamp/stamp.jsp?tp=&arnumber=5424314 |first1 = G. | last1 = Dewey |first2 = R. |last2 = Kotlyar |first3 = R. |last3 = Pillarisetty |first4 = M. |last4 = Radosavljevic |first5 = T. |last5 = Rakshit |first6 = H. |last6 = Then |first7 = R. |last7 = Chau|doi=10.1109/IEDM.2009.5424314 |chapter=Logic performance evaluation and transport physics of Schottky-gate III–V compound semiconductor quantum well field effect transistors for power supply voltages (V<inf>CC</inf>) ranging from 0.5v to 1.0v |isbn=978-1-4244-5639-0 }}</ref>
* In 2011, researchers at Intel demonstrated 3-D [[Multigate device#Types|tri-gate]] InGaAs transistors with improved leakage characteristics compared to traditional planar designs. The company claims that their design achieved the best electrostatics of any III-V compound semiconductor transistor.<ref>{{cite book |vauthors = Radosavljevic R, etal |title=Electrostatics improvement in 3-D tri-gate over ultra-thin planar InGaAs quantum well field effect transistors with high-K gate dielectric and scaled gate-to-drain/gate-to-source separation |pages=33.1.1–33.1.4 |publisher=IEEE |date=2011-12-05 |accessdate=2016-08-15 |chapter-url=http://ieeexplore.ieee.org/xpls/icp.jsp?arnumber=6131661|doi=10.1109/IEDM.2011.6131661 |chapter=Electrostatics improvement in 3-D tri-gate over ultra-thin body planar InGaAs quantum well field effect transistors with high-K gate dielectric and scaled gate-to-drain/gate-to-source separation |isbn=978-1-4577-0505-2 }}</ref> At the 2015 [[International Solid-State Circuits Conference]], Intel mentioned the use of III-V compounds based on such an architecture for their 7 nanometer node.<ref>{{cite news |title=Intel at ISSCC 2015: Reaping the Benefits of 14nm and Going Beyond 10nm |publisher=Anandtech |date=2015-02-22 |accessdate=2016-08-15 |url=http://www.anandtech.com/show/8991/intel-at-isscc-2015-reaping-the-benefits-of-14nm-and-going-beyond-10nm |first = Ian | last = Cutress}}</ref><ref>{{cite news |title=Intel forges ahead to 10nm, will move away from silicon at 7nm |publisher=Ars Technica |date=2015-02-23 |accessdate=2016-08-15 |url=https://arstechnica.com/gadgets/2015/02/intel-forges-ahead-to-10nm-will-move-away-from-silicon-at-7nm/ |first = Sebastian | last = Anthony}}</ref>
* In 2011, researchers at the [[University of Texas at Austin]] developed an InGaAs tunneling field-effect transistors capable of higher operating currents than previous designs. The first III-V TFET designs were demonstrated in 2009 by a joint team from [[Cornell University]] and [[Pennsylvania State University]].<ref>{{cite news |title=InGaAs tunnel FET with ON current increased by 61% |publisher=Semiconductor Today |volume = 6 |issue = 6 |date=April{{ndash}}May 2011 |accessdate=2016-08-15 |url=http://www.semiconductor-today.com/features/PDF/SemiconductorToday_AprMay2011_InGaAsFET.pdf |first = Mike |last = Cooke}}</ref><ref>{{cite journal |authors = Han Zhao, etal |title=Improving the on-current of In0.7Ga0.3As tunneling field-effect-transistors by p++/n+ tunneling junction |journal=Applied Physics Letters |volume=98 |issue=9 |pages=093501 |date=2011-02-28 |doi=10.1063/1.3559607 }}</ref>
* In 2012, a team in MIT's Microsystems Technology Laboratories developed a 22&nbsp;nm transistor based on InGaAs which, at the time, was the smallest non-silicon transistor ever built. The team used techniques currently used in silicon device fabrication and aims for better electrical performance and a reduction to [[10 nanometer|10-nanometer]] scale.<ref>{{cite web |title=Tiny compound semiconductor transistor could challenge silicon's dominance |publisher=MIT News |date=2012-10-12 |accessdate=2016-08-15 |url=https://news.mit.edu/2012/tiny-compound-semiconductor-transistor-could-challenge-silicons-dominance-1210 |first = Helen| last = Knight}}</ref>

Research is also showing how biological micro-cells are capable of impressive computational power{{clarify|date=November 2018}} while being energy efficient.<ref>{{Cite journal|last=Cavin|first=R. K.|last2=Lugli|first2=P.|last3=Zhirnov|first3=V. V.|date=2012-05-01|title=Science and Engineering Beyond Moore's Law|journal=Proceedings of the IEEE|volume=100|issue=Special Centennial Issue|pages=1720–1749|doi=10.1109/JPROC.2012.2190155|issn=0018-9219}}</ref>

[[File:Graphene SPM.jpg|thumb|[[Scanning probe microscopy]] image of graphene in its hexagonal lattice structure]]
Various forms of [[graphene]] are being studied for [[graphene electronics]], eg. [[Graphene nanoribbon]] [[graphene transistor|transistors]] have shown great promise since its appearance in publications in 2008. (Bulk graphene has a [[band gap]] of zero and thus cannot be used in transistors because of its constant conductivity, an inability to turn off. The zigzag edges of the nanoribbons introduce localized energy states in the conduction and valence bands and thus a bandgap that enables switching when fabricated as a transistor. As an example, a typical GNR of width of 10&nbsp;nm has a desirable bandgap energy of 0.4eV.<ref name="nature 2007"/><ref>{{cite journal |title=Graphene Transistors -- A New Contender for Future Electronics |publisher=Solid-State and Integrated Circuit Technology (ICSICT), 2010 10th IEEE International Conference |date=2010-04-11 <!--|accessdate=2016-08-15--> |first = Frank| last =Schwierz }}</ref>) More research will need to be performed, however, on sub 50&nbsp;nm graphene layers, as its resistivity value increases and thus electron mobility decreases.<ref name="nature 2007">{{cite journal |title=Carbon-based electronics |publisher=Nature Nanotechnology |date=2007-09-30 |accessdate=2016-08-15 |url=http://physics.oregonstate.edu/~tatej/COURSES/ph575/lib/exe/fetch.php?media=avouris_review_nnano.2007.300.pdf |first1 = Phaedon| last1 = Avouris| first2 =Zhihong | last2 =Chen| first3= Vasili | last3=Perebeinos }}</ref>

== Driving the future via an application focus ==

Most semiconductor industry forecasters, including Gordon Moore,<ref name=TheEconomist_Cross >{{cite web|last=Cross |first=Tim |title=After Moore's Law |url= http://www.economist.com/technology-quarterly/2016-03-12/after-moores-law |publisher=The Economist Technology Quarterly |quote= chart: "Faith no Moore" Selected predictions for the end of Moore's law |accessdate=2016-03-13}}</ref> expect Moore's law will end by around 2025.<ref>{{cite arXiv|last=Kumar|first=Suhas|title=Fundamental Limits to Moore's Law|year=2012|eprint=1511.05956|class=cond-mat.mes-hall}}</ref><ref name=":0" /><ref>[https://www.nytimes.com/2015/09/27/technology/smaller-faster-cheaper-over-the-future-of-computer-chips.html?&moduleDetail=section-news-2&action=click&contentCollection=Business%20Day&region=Footer&module=MoreInSection&version=WhatsNext&contentID=WhatsNext&pgtype=article&_r=0 Smaller, Faster, Cheaper, Over: The Future of Computer Chips] NY Times, September 2015</ref>

In April 2005, [[Gordon Moore]] stated in an interview that the projection cannot be sustained indefinitely: "It can't continue forever. The nature of exponentials is that you push them out and eventually disaster happens." He also noted that [[transistor]]s eventually would reach the limits of miniaturization at [[atom]]ic levels:
{{Quote|In terms of size [of transistors] you can see that we're approaching the size of atoms which is a fundamental barrier, but it'll be two or three generations before we get that far—but that's as far out as we've ever been able to see. We have another 10 to 20 years before we reach a fundamental limit. By then they'll be able to make bigger chips and have transistor budgets in the billions.<ref>{{cite web|url=http://www.techworld.com/news/operating-systems/moores-law-is-dead-says-gordon-moore-3576581/| title =Moore's Law is dead, says Gordon Moore|date=2005-04-13| first = Manek | last = Dubash|publisher=Techworld | accessdate = 2006-06-24}}</ref>}}In 2016 the [[International Technology Roadmap for Semiconductors]], after using Moore's Law to drive the industry since 1998, produced its final roadmap. It no longer centered its research and development plan on Moore's law. Instead, it outlined what might be called the More than Moore strategy in which the needs of applications drive chip development, rather than a focus on semiconductor scaling. Application drivers range from smartphones to AI to data centers.<ref name=":0">{{Cite journal|last=Waldrop|first=M. Mitchell|date=2016-02-09|title=The chips are down for Moore's law|journal=Nature|language=en|volume=530|issue=7589|pages=144–147|doi=10.1038/530144a|pmid=26863965|issn=0028-0836}}</ref>

A new initiative for a more generalized roadmapping was started through IEEE's initiative [http://rebootingcomputing.ieee.org/ Rebooting Computing], named the [[International Roadmap for Devices and Systems]] (IRDS).<ref name="IRDS">{{cite web|url=http://rebootingcomputing.ieee.org/images/files/pdf/rc_irds.pdf|title=IRDS launch announcement 4 MAY 2016|publisher=}}</ref>

== Consequences ==
[[Technological change]] is a combination of more and of better technology. A 2011 study in the journal ''[[Science (journal)|Science]]'' showed that the peak of the rate of change of the world's capacity to compute information was in 1998, when the world's technological capacity to compute information on general-purpose computers grew at 88% per year.<ref name="HilbertLopez2011">{{cite journal |title=The World's Technological Capacity to Store, Communicate, and Compute Information |first=Martin |last=Hilbert |first2=Priscila |last2=López |year=2011 |journal=[[Science (journal)|Science]] |volume=332 |issue=6025 |pages=60–65 |doi=10.1126/science.1200970 |bibcode = 2011Sci...332...60H |pmid=21310967}} Free access to the study through [http://www.martinhilbert.net/WorldInfoCapacity.html www.martinhilbert.net/WorldInfoCapacity.html] and video animation [http://ideas.economist.com/video/giant-sifting-sound-0 ideas.economist.com/video/giant-sifting-sound-0] {{webarchive|url=https://web.archive.org/web/20120118072720/http://ideas.economist.com/video/giant-sifting-sound-0 |date=2012-01-18 }}</ref> Since then, technological change clearly has slowed. In recent times, every new year allowed humans to carry out roughly 60% more computation than possibly could have been executed by all existing general-purpose computers in the year before.<ref name="HilbertLopez2011"/> This still is exponential, but shows that the rate of technological change varies over time.<ref>[https://ideas.repec.org/a/eee/respol/v14y1985i2p61-82.html "Technological guideposts and innovation avenuesn"], Sahal, Devendra (1985), Research Policy, 14, 61.</ref>

The primary driving force of [[Economic growth#Factors affecting economic growth|economic growth]] is the growth of productivity,<ref name="Kendrick 1961 3"/> and Moore's law factors into productivity. Moore (1995) expected that "the rate of technological progress is going to be controlled from financial realities".<ref name=Moore1995/> The reverse could and did occur around the late-1990s, however, with economists reporting that "[[Productivity#Labor productivity|Productivity]] growth is the key economic indicator of innovation."<ref name=Jorgenson01/>

An acceleration in the rate of semiconductor progress contributed to a surge in U.S. [[Productivity#Labor productivity|productivity]] growth,<ref>{{cite journal | citeseerx=10.1.1.198.9555 |title=Information Technology and the U.S. Economy: Presidential Address to the American Economic Association |publisher=[[American Economic Association]] | first = Dale W. | last = Jorgenson | date = 2000 }}</ref><ref>{{cite journal|title=A Retrospective Look at the U.S. Productivity Growth Resurgence |journal = Journal of Economic Perspectives|volume = 22|pages = 3–24| first1 = Dale W. | last1 = Jorgenson | first2 = Mun S. | last2 = Ho | first3 = Kevin J. | last3 = Stiroh | date = 2008 |doi=10.1257/jep.22.1.3 }}</ref><ref>{{cite web|url=http://bea.gov/papers/pdf/ip-nipa.pdf |title=Information Processing Equipment and Software in the National Accounts |publisher=U.S. Department of Commerce Bureau of Economic Analysis | first1 = Bruce T. | last1 = Grimm | first2 = Brent R. | last2 = Moulton | first3 = David B. | last3 = Wasshausen | date = 2002 |accessdate=2014-05-15}}</ref> which reached 3.4% per year in 1997–2004, outpacing the 1.6% per year during both 1972–1996 and 2005–2013.<ref>{{cite web|url=http://research.stlouisfed.org/fred2/series/OPHNFB |title=Nonfarm Business Sector: Real Output Per Hour of All Persons |publisher=Federal Reserve Bank of St. Louis Economic Data |year=2014 |accessdate=2014-05-27}}</ref> As economist Richard G. Anderson notes, "Numerous studies have traced the cause of the productivity acceleration to technological innovations in the production of semiconductors that sharply reduced the prices of such components and of the products that contain them (as well as expanding the capabilities of such products)."<ref>{{cite web|url=http://research.stlouisfed.org/publications/es/07/ES0707.pdf |title=How Well Do Wages Follow Productivity Growth? |publisher=Federal Reserve Bank of St. Louis Economic Synopses | first = Richard G. | last = Anderson | date = 2007 |accessdate=2014-05-27}}</ref>

[[File:Intel.svg|thumb|'''Intel transistor gate length trend''' – transistor scaling has slowed down significantly at advanced (smaller) nodes]]
An alternative source of improved performance is in [[microarchitecture]] techniques exploiting the growth of available transistor count. [[Out-of-order execution]] and on-chip [[CPU cache|caching]] and [[Instruction prefetch|prefetching]] reduce the memory latency bottleneck at the expense of using more transistors and increasing the processor complexity. These increases are described empirically by [[Pollack's Rule]], which states that performance increases due to microarchitecture techniques approximate the square root of the complexity (number of transistors or the area) of a processor.

For years, processor makers delivered increases in [[clock rate]]s and [[instruction-level parallelism]], so that single-threaded code executed faster on newer processors with no modification.<ref>See Herb Sutter,[http://www.gotw.ca/publications/concurrency-ddj.htm The Free Lunch Is Over: A Fundamental Turn Toward Concurrency in Software], Dr. Dobb's Journal, 30(3), March 2005. Retrieved November 21, 2011.</ref> Now, to manage [[CPU power dissipation]], processor makers favor [[multi-core]] chip designs, and software has to be written in a [[multi-threaded]] manner to take full advantage of the hardware. Many multi-threaded development paradigms introduce overhead, and will not see a linear increase in speed vs number of processors. This is particularly true while accessing shared or dependent resources, due to [[Lock (computer science)|lock]] contention. This effect becomes more noticeable as the number of processors increases. There are cases where a roughly 45% increase in processor transistors has translated to roughly 10–20% increase in processing power.<ref>{{cite web| url=http://www.anandtech.com/cpuchipsets/showdoc.aspx?i=2129&p=3 | title =AnandTech: Intel's 90nm Pentium M 755: Dothan Investigated |date=2004-07-21| first = Anand Lal |last=Shimpi|publisher=Anadtech| accessdate = 2007-12-12}}</ref>

On the other hand, processor manufacturers are taking advantage of the 'extra space' that the transistor shrinkage provides to add specialized processing units to deal with features such as graphics, video, and cryptography. For one example, Intel's Parallel JavaScript extension not only adds support for multiple cores, but also for the other non-general processing features of their chips, as part of the migration in client side scripting toward [[HTML5]].<ref>{{cite web|url=http://software.intel.com/en-us/blogs/2011/09/15/parallel-javascript |title=Parallel JavaScript |publisher=Intel |date=2011-09-15 |accessdate=2013-08-08}}</ref>

A negative implication of Moore's law is [[obsolescence]], that is, as technologies continue to rapidly "improve", these improvements may be significant enough to render predecessor technologies obsolete rapidly. In situations in which security and survivability of hardware or data are paramount, or in which resources are limited, rapid obsolescence may pose obstacles to smooth or continued operations.<ref>{{cite journal |title=Trapped on Technology's Trailing Edge |publisher=IEEE Spectrum |date=April 2008 |accessdate=2011-11-27 |url=http://spectrum.ieee.org/computing/hardware/trapped-on-technologys-trailing-edge |first = Peter | last = Standborn}}</ref>

Because of the toxic materials used in the production of modern computers, obsolescence, if not properly managed, may lead to harmful environmental impacts. On the other hand, obsolescence may sometimes be desirable to a company which can profit immensely from the regular purchase of what is often expensive new equipment instead of retaining one device for a longer period of time. Those in the industry are well aware of this, and may utilize [[planned obsolescence]] as a method of increasing profits.<ref>{{cite web|url=http://scn.sap.com/docs/DOC-34208 |title=WEEE – Combating the obsolescence of computers and other devices |publisher=SAP Community Network |date=2012-12-14 |accessdate=2013-08-08}}</ref>

Moore's law has affected the performance of other technologies significantly: [[Michael S. Malone]] wrote of a Moore's War following the apparent success of [[shock and awe]] in the early days of the [[Iraq War]]. Progress in the development of guided weapons depends on electronic technology.<ref>{{cite news |last = Malone | first = Michael S. |url=http://abcnews.go.com/Business/story?id=86673 |title=Silicon Insider: Welcome to Moore's War |publisher=ABC News |date=March 27, 2003 |accessdate=2011-08-22}}</ref> Improvements in circuit density and low-power operation associated with Moore's law also have contributed to the development of technologies including [[mobile phones|mobile telephones]]<ref>{{cite book|last=Zygmont|first=Jeffrey|title=Microchip|year=2003|publisher=Perseus Publishing|location=Cambridge, MA, USA|isbn=978-0-7382-0561-8|pages=154–169}}</ref> and [[3D printing|3-D printing]].<ref>{{cite book|last=Lipson|first=Hod|title=Fabricated: The New World of 3D Printing|year=2013|publisher=John Wiley & Sons|location=Indianapolis, IN, USA|isbn=978-1-118-35063-8}}</ref>

==Other formulations and similar observations==
Several measures of digital technology are improving at exponential rates related to Moore's law, including the size, cost, density, and speed of components. Moore wrote only about the density of components, "a component being a transistor, resistor, diode or capacitor",<ref name=Moore1995 >{{cite web|url=http://www.lithoguru.com/scientist/CHE323/Moore1995.pdf |title=Lithography and the future of Moore's law |publisher=[[SPIE]] |last=Moore |first=Gordon E.|authorlink=Gordon Moore |year=1995 |accessdate=2014-05-27}}</ref> at minimum cost.

'''Transistors per integrated circuit''' – The most popular formulation is of the doubling of the number of [[transistor]]s on [[integrated circuit]]s every two years. At the end of the 1970s, Moore's law became known as the limit for the number of transistors on the most complex chips. The graph at the top shows this trend holds true today.
* As of 2017, the commercially available processor possessing the highest number of transistors is the 48 core [[Qualcomm Centriq|Centriq]] with over 18 billion transistors.<ref>{{cite web|url=https://www.qualcomm.com/news/releases/2017/11/08/qualcomm-datacenter-technologies-announces-commercial-shipment-qualcomm |title=Qualcomm Processor|publisher= [[Qualcomm]]|date=2017-11-08}}</ref>

'''Density at minimum cost per transistor''' – This is the formulation given in Moore's 1965 paper.<ref name="Moore1965paper"/> It is not just about the density of transistors that can be achieved, but about the density of transistors at which the cost per transistor is the lowest.<ref>{{cite web|last=Stokes |first=Jon |url=https://arstechnica.com/hardware/news/2008/09/moore.ars |title=Understanding Moore's Law |publisher=Ars Technica |date=2008-09-27 |accessdate=2011-08-22}}</ref>
As more transistors are put on a chip, the cost to make each transistor decreases, but the chance that the chip will not work due to a defect increases. In 1965, Moore examined the density of transistors at which cost is minimized, and observed that, as transistors were made smaller through advances in [[photolithography]], this number would increase at "a rate of roughly a factor of two per year".<ref name="Moore1965paper"/>

'''[[Dennard scaling]]''' – This suggests that power requirements are proportional to area (both voltage and current being proportional to length) for transistors. Combined with Moore's law, [[performance per watt]] would grow at roughly the same rate as transistor density, doubling every 1–2 years. According to [[Dennard scaling]] transistor dimensions are scaled by 30% (0.7x) every technology generation, thus reducing their area by 50%. This reduces the delay by 30% (0.7x) and therefore increases operating frequency by about 40% (1.4x). Finally, to keep electric field constant, voltage is reduced by 30%, reducing energy by 65% and power (at 1.4x frequency) by 50%.<ref group=note>Active power = CV<sup>2</sup>f</ref> Therefore, in every technology generation transistor density doubles, circuit becomes 40% faster, while power consumption (with twice the number of transistors) stays the same.<ref>{{cite journal| url=http://cacm.acm.org/magazines/2011/5/107702-the-future-of-microprocessors/fulltext |accessdate=2011-11-27 |title=The Future of Microprocessors|date=May 2011| first1 = Shekhar | last1 = Borkar | first2 = Andrew A. | last2 = Chien|journal=Communications of the ACM |volume=54 |issue=5 |pages=67 | doi=10.1145/1941487.1941507
}}</ref>

The exponential processor transistor growth predicted by Moore does not always translate into exponentially greater practical CPU performance. Since around 2005–2007, Dennard scaling appears to have broken down, so even though Moore's law continued for several years after that, it has not yielded dividends in improved performance.<ref name=cartesian>{{cite web|url=http://cartesianproduct.wordpress.com/2013/04/15/the-end-of-dennard-scaling/|title = The end of Dennard scaling|date = April 15, 2013|last = McMenamin|first = Adrian|accessdate = January 23, 2014}}</ref><ref name=retrospective>{{cite web|url=http://www.eng.auburn.edu/~agrawvd/COURSE/READING/LOWP/Boh07.pdf|title = A 30 Year Retrospective on Dennard's MOSFET Scaling Paper|publisher = Solid-State Circuits Society|last = Bohr|first = Mark|date = January 2007|accessdate = January 23, 2014}}</ref> The primary reason cited for the breakdown is that at small sizes, current leakage poses greater challenges, and also causes the chip to heat up, which creates a threat of [[thermal runaway]] and therefore, further increases energy costs.<ref name=cartesian/><ref name=retrospective/>

The breakdown of Dennard scaling prompted a switch among some chip manufacturers to a greater focus on multicore processors, but the gains offered by switching to more cores are lower than the gains that would be achieved had Dennard scaling continued.<ref>{{cite web|url=http://www.cc.gatech.edu/~hadi/doc/paper/2012-toppicks-dark_silicon.pdf|title = Dark Silicon and the end of multicore scaling|last = Esmaeilzedah|first = Hadi|last2 = Blem|first2 = Emily|last3 = St. Amant|first3 = Renee|last4 = Sankaralingam|first4 = Kartikeyan|last5 = Burger|first5 = Doug}}</ref><ref>{{cite web|url=http://www.extremetech.com/computing/116561-the-death-of-cpu-scaling-from-one-core-to-many-and-why-were-still-stuck|title = The death of CPU scaling: From one core to many — and why we're still stuck|last = Hruska|first = Joel|date = February 1, 2012|accessdate = January 23, 2014|publisher = [[ExtremeTech]]}}</ref> In another departure from Dennard scaling, Intel microprocessors adopted a non-planar tri-gate [[FinFET]] at [[22 nm]] in 2012 that is faster and consumes less power than a conventional planar transistor.<ref>{{cite web|url=http://www.semiconwest.org/sites/semiconwest.org/files/docs/Kaizad%20Mistry_Intel.pdf |title=Tri-Gate Transistors: Enabling Moore's Law at 22nm and Beyond |publisher=Intel Corporation at semiconwest.org |first = Kaizad | last = Mistry |date = 2011 |accessdate=2014-05-27}}</ref>

'''Quality adjusted price of IT equipment''' – The [[Price index|price]] of information technology (IT), computers and peripheral equipment, [[Price index#Quality change|adjusted for quality]] and inflation, declined 16% per year on average over the five decades from 1959 to 2009.
<ref name=ITprices >{{cite web|url=http://research.stlouisfed.org/fred2/series/B935RG3Q086SBEA |title=Private fixed investment, chained price index: Nonresidential: Equipment: Information processing equipment: Computers and peripheral equipment |publisher=[[Federal Reserve Bank of St. Louis]] |year=2014 |accessdate=2014-05-12}}</ref><ref name=NambiarPoess >{{cite book|title=Transaction Performance vs. Moore's Law: A Trend Analysis|volume = 6417|pages = 110–120| first1 = Raghunath | last1 = Nambiar | first2 = Meikel | last2 = Poess|publisher=[[Springer Science+Business Media|Springer]] | date = 2011 |doi=10.1007/978-3-642-18206-8_9|series = Lecture Notes in Computer Science|isbn = 978-3-642-18205-1}}</ref> The pace accelerated, however, to 23% per year in 1995–1999 triggered by faster IT innovation,<ref name=Jorgenson01 >{{cite web|url=http://www.worldklems.net/conferences/worldklems2014/worldklems2014_Ho.pdf |title=Long-term Estimates of U.S. Productivity and Growth |publisher=World KLEMS Conference | first1 = Dale W. | last1 = Jorgenson | first2 = Mun S. | last2 = Ho | first3 = Jon D. | last3 = Samuels | date = 2014 |accessdate=2014-05-27}}</ref> and later, slowed to 2% per year in 2010–2013.<ref name=ITprices/><ref>{{cite web|url=http://blogs.elis.org/isa/files/2013/02/report_jpmorgan.pdf |title=US: is I.T. over? |publisher=JPMorgan Chase Bank NA Economic Research | first = Michael | last = Feroli | date = 2013 |accessdate=2014-05-15}}</ref>

The rate of [[Price index#Quality change|quality-adjusted]] microprocessor price improvement likewise varies, and is not linear on a log scale. Microprocessor price improvement accelerated during the late 1990s, reaching 60% per year (halving every nine months) versus the typical 30% improvement rate (halving every two years) during the years earlier and later.<ref name=Aizcorbe01>{{cite web|url=http://www.federalreserve.gov/Pubs/FEDS/2006/200644/ |title=Shifting Trends in Semiconductor Prices and the Pace of Technological Progress |publisher=The Federal Reserve Board Finance and Economics Discussion Series | first1 = Ana | last1 = Aizcorbe | first2 = Stephen D. | last2 = Oliner | first3 = Daniel E. | last3 = Sichel | date = 2006 |accessdate=2014-05-15}}</ref><ref>{{cite web|url=http://www.bea.gov/papers/pdf/semiconductorprices.pdf |title=Why Are Semiconductor Price Indexes Falling So Fast? Industry Estimates and Implications for Productivity Measurement |publisher=U.S. Department of Commerce Bureau of Economic Analysis | first = Ana | last = Aizcorbe | date = 2005 |accessdate=2014-05-15}}</ref> Laptop microprocessors in particular improved 25–35% per year in 2004–2010, and slowed to 15–25% per year in 2010–2013.<ref name="Sun 2014" >{{cite web |url=http://repository.wellesley.edu/cgi/viewcontent.cgi?article=1284&context=thesiscollection |title=What We Are Paying for: A Quality Adjusted Price Index for Laptop Microprocessors |last=Sun |first=Liyang |publisher=Wellesley College |date=2014-04-25 |accessdate=2014-11-07 |quote= ... compared with −25% to −35% per year over 2004–2010, the annual decline plateaus around −15% to −25% over 2010–2013. }}</ref>

The number of transistors per chip cannot explain [[Price index#Quality change|quality-adjusted]] microprocessor prices fully.<ref name=Aizcorbe01/><ref>{{cite web|url=http://www.bea.gov/papers/pdf/aizcorbe_kortum.pdf |title=Moore's Law and the Semiconductor Industry: A Vintage Model |publisher=U.S. Department of Commerce Bureau of Economic Analysis | first1 = Ana | last1 = Aizcorbe | first2 = Samuel | last2 = Kortum | date = 2004 |accessdate=2014-05-27}}</ref><ref>{{cite web|url=https://www.nytimes.com/2004/05/17/business/technology-intel-s-big-shift-after-hitting-technical-wall.html |title=Intel's Big Shift After Hitting Technical Wall |publisher=New York Times | first = John | last = Markoff | date = 2004 |accessdate=2014-05-27}}</ref> Moore's 1995 paper does not limit Moore's law to strict linearity or to transistor count, "The definition of 'Moore's Law' has come to refer to almost anything related to the semiconductor industry that when plotted on semi-log paper approximates a straight line. I hesitate to review its origins and by doing so restrict its definition."<ref name=Moore1995/>

'''Hard disk drive areal density''' – A similar observation (sometimes called [[Mark Kryder|Kryder's law]]) was made in 2005 for [[hard disk drive]] [[areal density]].<ref>{{cite news
| first=Chip
| last=Walter
| url=https://www.scientificamerican.com/article/kryders-law/
| title=Kryder's Law
| work=Scientific American
| publisher= (Verlagsgruppe Georg von Holtzbrinck GmbH)
| date=2005-07-25
| accessdate=2006-10-29
}}</ref>
Several decades of rapid progress in areal density advancement slowed significantly around 2010, because of noise related to [[Superparamagnetism#Effect on hard drives|smaller grain size]] of the disk media, thermal stability, and writability using available magnetic fields.<ref>{{cite journal
| title = New Paradigms in Magnetic Recording
| last = Plumer et. al
| first = Martin L.
| journal = Physics in Canada
| volume = 67
| issue = 1
| date = March 2011
| pages = 25–29
|arxiv = 1201.5543}}</ref><ref name="Mellor 2014-11-10">{{cite news |last=Mellor |first=Chris |url=https://www.theregister.co.uk/2014/11/10/kryders_law_of_ever_cheaper_storage_disproven/ |title=Kryder's law craps out: Race to UBER-CHEAP STORAGE is OVER |work=theregister.co.uk |location=UK |publisher=The Register |date=2014-11-10 |accessdate=2014-11-12 |quote=Currently 2.5-inch drives are at 500GB/platter with some at 600GB or even 667GB/platter – a long way from 20TB/platter. To reach 20TB by 2020, the 500GB/platter drives will have to increase areal density 44 times in six years. It isn't going to happen. ... Rosenthal writes: "The technical difficulties of migrating from PMR to HAMR, meant that already in 2010 the Kryder rate had slowed significantly and was not expected to return to its trend in the near future. The floods reinforced this." }}</ref>

'''Fiber-optic capacity''' – The number of bits per second that can be sent down an optical fiber increases exponentially, faster than Moore's law. '''Keck's law''', in honor of [[Donald Keck]].<ref>
Jeff Hecht.
[http://spectrum.ieee.org/semiconductors/optoelectronics/is-kecks-law-coming-to-an-end "Is Keck's Law Coming to an End?"].
IEEE Spectrum.
2016.
</ref>

'''Network capacity''' – According to Gerry/Gerald Butters,<ref>{{cite news|url=https://www.forbes.com/finance/mktguideapps/personinfo/FromPersonIdPersonTearsheet.jhtml?passedPersonId=922126 |archiveurl=https://web.archive.org/web/20071012201431/http://www.forbes.com/finance/mktguideapps/personinfo/FromPersonIdPersonTearsheet.jhtml?passedPersonId=922126 |archivedate=2007-10-12 |title=Gerald Butters is a communications industry veteran |publisher=Forbes.com}}</ref><ref>{{cite web|url=http://www.lambdaopticalsystems.com/about-board-dir.php |title=Board of Directors |publisher=LAMBDA OpticalSystems |accessdate=2011-08-22}}</ref> the former head of Lucent's Optical Networking Group at [[Bell Labs]], there is another version, called Butters' Law of Photonics,<ref>{{cite web|url=http://www.tmcnet.com/articles/comsol/0100/0100pubout.htm |title=As We May Communicate |publisher=Tmcnet.com | first = Rich | last = Tehrani |accessdate=2011-08-22}}</ref> a formulation that deliberately parallels Moore's law. Butters' law says that the amount of data coming out of an optical fiber is doubling every nine months.<ref>{{cite web|url=http://www.eetimes.com/story/OEG20000926S0065 |title=Speeding net traffic with tiny mirrors |publisher=[[EE Times]] |date=2000-09-26 | first = Gail | last = Robinson |accessdate=2011-08-22}}</ref> Thus, the cost of transmitting a bit over an optical network decreases by half every nine months. The availability of [[wavelength-division multiplexing]] (sometimes called WDM) increased the capacity that could be placed on a single fiber by as much as a factor of 100. Optical networking and [[Dense WDM|dense wavelength-division multiplexing]] (DWDM) is rapidly bringing down the cost of networking, and further progress seems assured. As a result, the wholesale price of data traffic collapsed in the [[dot-com bubble]]. [[Nielsen's Law]] says that the bandwidth available to users increases by 50% annually.<ref>{{cite web|url=http://www.useit.com/alertbox/980405.html |title=Nielsen's Law of Internet Bandwidth |publisher=Alertbox | first = Jakob | last = Nielsen |date=1998-04-05 |accessdate=2011-08-22}}</ref>

'''Pixels per dollar''' – Similarly, Barry Hendy of Kodak Australia has plotted pixels per dollar as a basic measure of value for a digital camera, demonstrating the historical linearity (on a log scale) of this market and the opportunity to predict the future trend of digital camera price, LCD and LED screens, and resolution.<ref>{{cite news |url=http://www.theaustralian.com.au/archive/news/trust-the-power-of-technology/story-e6frg6q6-1225696991379 |title=Trust the power of technology |date=2009-04-09 |accessdate=2013-12-02 | first = Ziggy | last = Switkowski |publisher=The Australian}}</ref><ref>{{cite journal |url=http://www.cs.cornell.edu/people/egs/papers/lesser-known-laws.pdf |title=Some Lesser-Known Laws of Computer Science | first1 = Emin | last1 = Günsirer | first2 = Rik | last2 = Farrow |accessdate=2013-12-02}}</ref><ref>{{cite web |url=http://antranik.org/using-moores-law-to-predict-future-memory-trends/ |title=Using Moore's Law to Predict Future Memory Trends |date=2011-11-21 |accessdate=2013-12-02}}</ref>

'''The great Moore's law compensator (TGMLC)''', also known as [[Wirth's law]] – generally is referred to as [[software bloat]] and is the principle that successive generations of computer software increase in size and complexity, thereby offsetting the performance gains predicted by Moore's law. In a 2008 article in [[InfoWorld]], Randall C. Kennedy,<ref>{{cite web|last=Kennedy |first=Randall C. |url=http://www.infoworld.com/t/applications/fat-fatter-fattest-microsofts-kings-bloat-278?page=0,4 |title=Fat, fatter, fattest: Microsoft's kings of bloat |publisher=InfoWorld |date=2008-04-14 |accessdate=2011-08-22}}</ref> formerly of Intel, introduces this term using successive versions of [[Microsoft Office]] between the year 2000 and 2007 as his premise. Despite the gains in computational performance during this time period according to Moore's law, Office 2007 performed the same task at half the speed on a prototypical year 2007 computer as compared to Office 2000 on a year 2000 computer.

'''Library expansion''' – was calculated in 1945 by [[Fremont Rider]] to double in capacity every 16 years, if sufficient space were made available.<ref name="The Scholar">{{Cite book| last = Rider| title = The Scholar and the Future of the Research Library| publisher = Hadham Press| year = 1944| location = New York City}}</ref> He advocated replacing bulky, decaying printed works with miniaturized [[microform]] analog photographs, which could be duplicated on-demand for library patrons or other institutions. He did not foresee the digital technology that would follow decades later to replace analog microform with digital imaging, storage, and transmission media. Automated, potentially lossless digital technologies allowed vast increases in the rapidity of information growth in an era that now sometimes is called the [[Information Age]].

'''[[Carlson curve]]''' – is a term coined by ''The Economist''<ref>Life 2.0. (August 31, 2006). The Economist</ref> to describe the biotechnological equivalent of Moore's law, and is named after author Rob Carlson.<ref>{{cite journal | last = Carlson | first = Robert H. | title = Biology Is Technology: The Promise, Peril, and New Business of Engineering Life | location = Cambridge, MA | publisher = Harvard UP | date = 2010 }}</ref> Carlson accurately predicted that the doubling time of DNA sequencing technologies (measured by cost and performance) would be at least as fast as Moore's law.<ref>{{cite journal | title = The Pace and Proliferation of Biological Technologies | first = Robert | last = Carlson | journal = Biosecurity and Bioterrorism: Biodefense Strategy, Practice, and Science | date = September 2003 | volume = 1 | issue = 3 | pages = 203–214 | doi =10.1089/153871303769201851 | pmid = 15040198 }}</ref> Carlson Curves illustrate the rapid (in some cases hyperexponential) decreases in cost, and increases in performance, of a variety of technologies, including DNA sequencing, DNA synthesis, and a range of physical and computational tools used in protein expression and in determining protein structures.

'''[[Eroom's law]]''' – is a pharmaceutical drug development observation which was deliberately written as Moore's Law spelled backwards in order to contrast it with the exponential advancements of other forms of technology (such as transistors) over time. It states that the cost of developing a new drug roughly doubles every nine years.

'''[[Experience curve effects]]''' says that each doubling of the cumulative production of virtually any product or service is accompanied by an approximate constant percentage reduction in the unit cost. The acknowledged first documented qualitative description of this dates from 1885.<ref name="ebbing_book">https://books.google.com/books?id=oRSMDF6y3l8C&printsec=frontcover#v=onepage&q&f=false Page 42, Fig 2</ref><ref name="books.google.com">https://books.google.com/books?id=ikEMAAAAIAAJ&q=%22learning+curve%22#v=snippet&q=%22learning%20curve%22&f=false The American Journal of Psychology, Volume 14 1903 By Granville Stanley Hall, Edward Bradford Titchene</ref> A power curve was used to describe this phenomenon in a 1936 discussion of the cost of airplanes.<ref>Wright, T.P., Factors Affecting the Cost of Airplanes, ''[[Journal of Aeronautical Sciences]]'', 3(4) (1936): 122-128.</ref>

==See also==
{{div col|colwidth=20em}}
* [[5 nm]] The Quantum Tunneling Wall
* [[Accelerating change]]
* [[Amdahl's law]]
* [[Bell's Law of Computer Classes|Bell's law]]
* [[Beyond CMOS]]
* [[Dennard scaling]]
* [[Engelbart's law]]
* [[Empirical relationship]]
* [[Ephemeralization]]
* [[Eroom's law]]
* [[Grosch's law]]
* [[Gustafson's law]]
* [[Haitz's law]] – analog to Moore's law for LEDs
* [[Intel Tick-Tock]]
* [[Koomey's law]]
* [[Landauer's principle]]
* [[List of eponymous laws]]
* [[Metcalfe's law]]
* [[Microprocessor chronology]]
* [[Quantum computing]]
* [[Quantum tunneling]]
* [[Reversible computing]]
* [[Swanson's law]]
* [[Zimmerman's law]]
{{div col end}}

==Notes==
{{Reflist|group="note"}}

==References==
{{Reflist|30em}}

==Further reading==
* ''Moore's Law: The Life of Gordon Moore, Silicon Valley's Quiet Revolutionary.'' Arnold Thackray, David C. Brock, and Rachel Jones. New York: Basic Books, (May) 2015.
* ''Understanding Moore's Law: Four Decades of Innovation.'' Edited by David C. Brock. Philadelphia: Chemical Heritage Foundation, 2006. {{ISBN|0-941901-41-6}}. {{OCLC|66463488}}.

==External links==
{{Wikibooks|The Information Age}}
* [http://www.intel.com/pressroom/kits/events/moores_law_40th/index.htm Intel press kit] – released for Moore's Law's 40th anniversary, with a [ftp://download.intel.com/pressroom/images/events/moores_law_40th/Moores_Law_Original_Graph.jpg 1965 sketch] by Moore
* [http://firstmonday.org/ojs/index.php/fm/article/view/1000/921 The Lives and Death of Moore's Law] – by [[Ilkka Tuomi]]; a detailed study on Moore's Law and its historical evolution and [http://www.kurzweilai.net/meme/frame.html?main=/articles/art0593.html its criticism] by Kurzweil
* [http://www.slideshare.net/Christiansandstrom/no-technology-has-been-more-disruptive-presentation/ No Technology has been more disruptive...] Slide show of microchip growth
* [http://wi-fizzle.com/compsci/ Intel (IA-32) CPU speeds 1994–2005] – speed increases in recent years have seemed to slow down with regard to percentage increase per year (available in PDF or PNG format)
* [https://web.archive.org/web/20151228041321/http://www.itrs.net/ International Technology Roadmap for Semiconductors (ITRS)]
* [http://www.vigyanprasar.gov.in/dream/oct2006/English.pdf Gordon Moore His Law and Integrated Circuit, Dream 2047 October 2006]
* {{webarchive |date=2013-01-02 |url=https://archive.is/20130102082556/http://news.com.com/FAQ+Forty+years+of+Moores+Law/2100-1006_3-5647824.html?tag=nefd.lede |title=A C{{!}}net FAQ about Moore's Law}}
* [https://www.youtube.com/watch?v=EzyJxAP6AQo ASML's 'Our Stories', Gordon Moore about Moore's Law, [[ASML Holding]]]

{{Computer laws}}
{{Emerging technologies}}


[[Category:Computer architecture statements]]
[[Category:Computer architecture statements]]

Revision as of 19:26, 15 February 2019