Talk:Latch (electronics)

Page contents not supported in other languages.
From Wikipedia, the free encyclopedia

Latches and Flip-Flops[edit]

"Characteristic: Q+ = R'Q + R'S or Q+ = R'Q + S" is not uniform with the article's naming conventions. Also the whole page layout has got screwed because of that google books page link. Thejaswi.puthraya 16:35, 7 October 2007 (UTC)[reply]

I would like to see an explanation on how the reset input controls the different latches and Flip Fops. Also it would help to see truth tables and charts for the different devices with the relation to the reset line. (Does the reset always return Q to a 0 state and is the reset dependent on a clock signal.)----(User:treese 1/10/07

An asynchronous reset (or set) is not dependent on a clock signal (hence the name), this is mentioned in the introduction to flip-flops. Check the timing-diagram in the datasheets for 7400-series parts (eg. philips/nxp, TI, etc) and you will get a feel for it, as many such parts have both asynchronous and synchronous inputs. /HenkeB 13:32, 17 January 2007 (UTC)[reply]

A clearer explanation of the function and use of a latch in the introduction would be really useful. --User:DV 23:18, 1-1-2007

"A clearer explanation"? What do you like to know? The technical function is described (briefly) later in the article. Please give me a hint, or even better, a few concrete questions, and I will try to clarify. /HenkeB 17:20, 4 January 2007 (UTC)[reply]

The article should explain the relation between latches and flip-flops, what is the difference? how do they relate to each other?

A flip-flop is a clocked latch. --Yuje 00:09, May 18, 2005 (UTC)

Latches are a bit more complicated than implied in the article. Let me attempt an alternative introduction:

Latches are the simplest binary memory circuits. They have two or more inputs and one output. At least one combination of input values (a so-called input event) causes the output to be 0, at least one input event causes the output to be 1, and at least one input event causes the output to retain the output value which which the previous input event had produced. This latter property is the momorising property of the latch.

Latches with only two inputs are called elementary latches. There are 36 elementary latches of which only 28 are technically feasible. Some of these latches can be realised by devices such as a thyristor, certain pneumatic valves, or certain electric relays. Neither of these devices incorporates a so-called feedback.

All latches can be designed using logic gates and a feedback signal. The feedback (or feedback signal) is the time-delayed output signal used as an additional input (i.e., it is the output signal fed back to the input). To specify and calculate a latch, it is advantageous to use a Karnaugh map or a reduced Karnaugh map. They allow you to design latches that are free of hazards and quick to react to input changes.

The theory of latches is extensively covered in: S.P.Vingron: `Switching Theory. Insight through Predicate Logic.' Springer Verlag 2003. ISBN 3-540-40343-4. --Ving 16:07, 17 October 2005 (UTC)[reply]

Latches can be clocked as well. Remember that these things are just circuits, and the inputs to the circuits can be any sort of digital siganl - one could put the clock into the data input, and a data input to the clk - it doesn't change the type of device it is - only its use. A latch is either level-triggered or always transparent, a flip-flop is edge-triggered - the clock has nothing to do with this. Fresheneesz 21:01, 3 November 2006 (UTC)[reply]
As [1] states, the difference between a latch and a flip-flop is that a latch doesn't have a clock signal, and a flip-flop does. Yes, you can apply an oscillating signal on a latch's inputs an say "this is a clock". But if the circuit is considered to be a latch, such a signal will be considered not a clock but just a sequence of input states!
Unlike this, flip-flops have a signal that is specially designed to be the clock: it is considered to be not a data but a management input.
Based on these considerations, I suggest to remove all 'clocked' stuff from this article.
Right now, i'm going to write a preface to clarify the above statement. All circuits that can be considered both latches and flip-flops should be present (at least, as links) in both articles and have a note about the ambiguity. — Vano 17:57, 22 November 2006 (UTC)[reply]

This oversimplified "distinction" between latches and flip-flops is both completely arbitrary and historically incorrect. The first flip-flops were not clocked (see flip-flop). In fact, they had exacly the same properties as that of a "latch"! A more appropriate categorization could be:

  • Simple bistables (cross coupled inverting elements)
  • Gated bistables (same as above plus some gating logic)
  • Clocked bistables (normally implemented as master-slave devices)
  • Edge-clocked bistables (creates an optimal (short) internal clocking-pulse from a single edge)

Even this is simplified btw. /HenkeB 02:18, 26 November 2006 (UTC)[reply]

Don't Care conditions in the truth table?[edit]

Wouldn't using don't cares for the two truth tables make more sense than what's currently there? I mean, I don't want to go ahead, edit them in, and have someone get confused and complain. mm..but EDIT: Gah, forgot to sign--Sporkot 03:53, 21 January 2006 (UTC)[reply]

smaller truth table[edit]

I added a smaller truth table: I find the bigger one very confusing, while the smaller one (according to me) clearly explains the behaviour of the device and why we use it.

With the small one, the bigger one gets redundant, so I think we might remove it. I didn't do it already because I thought it was better to discuss it. Alessio Damato 22:25, 11 May 2006 (UTC)[reply]

I agree the larger one is redunant and is no longer necessary--Rehnn83 14:06, 21 June 2006 (UTC)[reply]
I've changed the tables to the way they gave them at lectures (simple and clear). If the terms are uncommon for US (i'm from Russia), you're welcome to change them. (btw we say RS- instead of SR- and trigger instead of latch or flip-flop ;) ) — Vano 21:59, 15 November 2006 (UTC)[reply]
Similar in Swedish. We use the term "vippa" for all types of bistable elements. Types are distinguished by prefixes such as clocked, transparent, RS, JK, etc.
/HenkeB 09:11, 26 November 2006 (UTC)[reply]
SR? I've never heard them called SR. In fact both this page, and the flip-flop one that links to it had me confused for a few seconds. A search for "RS flip-flop" vs. "SR flip-flip" show RS coming up 5 times as much. A search for "SR latch" vs. "RS latch" shows equal usage. Unless modern EE texts are now calling them SR for a valid reason, I'd like to see RS. If SR is now the standard, I'd like to see an explanation about why RS is no longer used. —The preceding unsigned comment was added by 68.60.59.250 (talk) 10:17, 6 January 2007 (UTC). Ooops, sorry. I'll sign it now. 68.60.59.250 16:33, 11 January 2007 (UTC)[reply]

disambiguations[edit]

hi, i'm not sure how to do this, but can one if you make RS Latch (and the other kinds of latches this page mentions) link to this one when searching? Thanks. —The preceding unsigned comment was added by 128.32.77.56 (talk) 02:43, 12 February 2007 (UTC).[reply]

Error(s)[edit]

There is an error with the right drawing of the JK flip-flop. Either J and K or Q and -Q have to be swapped (not the labels but the wires) for it to work as given in the truth table. And for the left picture... This does not seem to be correct at all. (15th march)


I agree, this error is correct. The image should removed, or fixed

"The most fundamental latch"[edit]

I disagree that the SR latch is the most fundamental latch. Clearly the cross-coupled inverters used in SRAM cells are even simpler. If you want to get into dynamic circuits, you don't need any feedback at all. 142.59.195.50 01:20, 30 April 2007 (UTC)[reply]

shakeel abbas qau[edit]

latch is just a register which stores data output of prevoius segments and provide input for next segments —The preceding unsigned comment was added by 202.83.169.218 (talk) 06:07, 3 May 2007 (UTC).[reply]

SR latch characteristic[edit]

I added a brief line with the characteristic equation for an SR latch. I thought it was pretty important. I doubt it meets quality guidelines, but I put a link. —Preceding unsigned comment added by 70.134.57.120 (talk) 06:45, 27 September 2007 (UTC)[reply]

Corrections to be made[edit]

"A synchronous SR latch (sometimes clocked SR flip-flop) can be made by adding a second level of NAND gates to the inverted SR latch (or a second level of NOR gates to the direct SR latch)." The description does not match the circuit shown below. There are no NAND gates anywhere.

A gated SR latch circuit diagram constructed from NOR gates.












The symbol for the SR NAND latch is invisible. It should be replaced or eliminated. As it is, it's useless.

Symbol for an SR NAND latch










ICE77 (talk) 18:38, 19 October 2009 (UTC)[reply]

Earle latch?[edit]

Anyone care to put in a real graphic (instead of the ascii-gram) to show an Earle latch? —Preceding unsigned comment added by 152.17.123.154 (talk) 14:35, 17 September 2010 (UTC)[reply]

Gated T latch diagram[edit]

Would anyone object to having Qnext replaced with Q in the diagram below? I would find it clearer and easier to read that way so that we can understand when Q is changed. --Sbluen (talk) 20:01, 21 October 2010 (UTC)[reply]

T Qprev Qnext Comment
0 0 0 Hold state
0 1 1
1 0 1 Toggle state
1 1 0
Qnext should also be removed for the JK Latch. Glrx (talk) 22:36, 21 October 2010 (UTC)[reply]

Rearranging "Latch" and "Flip-flop" articles[edit]

I have moved the introductory part of Flip-flop to this article and rearranged the two articles guided by the considerations below.

  • Flip-flop and latch are not the same; so, they deserve separate pages (as it is).
  • Flip-flop and latch are closely related; so, the two pages have to be closely related as well.
  • The latch precedes chronologically the flip-flop.
  • Eccles and Jordan have invented a latch, not a flip-flop; so, the data about their patent have to be placed on Latch.
  • The fundamental bistable circuit is simpler than a latch and the latch is simpler than a flip-flop; so, the fundamental bistable circuit has to be inserted in the introductory part of Latch.
  • The flip-flop is based on the latch; it is built by one or more latches; so, Flip-flop is a continuation of Latch.

I would be glad if you appreciate my efforts to solve the problems connected with the distribution of the contents between the two pages. Circuit dreamer (talk, contribs, email) 22:57, 1 December 2010 (UTC)[reply]

The "mess" is restored again...[edit]

I am glad to see that you have arrived at the decision to merge the two pages since it actually was my idea. As you can see, I have only distributed the existing contents between the two pages and structured them to prepare the ground for future merging (if we decide to do it). Now the two pages are tidy, well structured and intelligible. The fundamental idea is revealed in the beginning of Latch and then its evolution is shown in a logical succession: basic bistable circuit -> "direct" RS latches -> gated latches -> ... then it continues in Flip-flop ... -> edge-triggered flip-flops -> pulse-triggered flip-flops. Now, we have only to insert the contents of latch page in the beginning of flip-flop one and to generalize the lede to obtain the desired common page (latch should be redirected to flip-flop).

Of course, it is obvious for everyone that now latches and flip-flops are unnaturally separated in these two pages; they are only different stages of the humble Eccles-Jordan bistable circuit in the stairs of its evolution. But we have somehow to distribute the content about all these memory circuits into separate pages because it is too large for one page. So, I have started with the existing organization - each circuit in its own page, subordinating the latch to flip-flop page. But this is only one possible (not so bad) way of presentation.

The alternative approach (suggested above) can be realized as a set of one main and a few subordinated pages. The main flip-flop article should contain only essential data about fundamental bistable circuit and to show (in the succession above) the typical flip-flop implementations. The specific circuit solutions should be shown in the separate subpages. Thus the main page will reveal the fundamental ideas behind flip-flops and the subpages will show their specific implementations (see for example op-amp applications).

I hope you realize how arduous this undertaking is. It can be implemented by creative, thinking and conscientious wikipedians behaving positively; but I do not see such persons here. Instead, I see exactly the opposite - wikipedians that brutally blank, remove and revert without any comments large blocks of text revealing the fundamental ideas behind circuits...

I was rather impressed by wikipedians (some of them anonymous) having a talk more than a year ago. There are valuable thoughts, generalizations and philosophy in their discussions that can be inserted in the articles. Such kind of people can implement this ambiguous undertaking... but they keep silence for now... Maybe new people will join this undertaking... Till then the reorganized articles about latches and flip-flops can serve as a better basis for further improving (merging, splitting, whatever...) than the previous eclectic "mess". Circuit dreamer (talk, contribs, email) 11:30, 4 December 2010 (UTC)[reply]

Should Talk (Latch) and Talk (Flip-Flop) be combined?[edit]

I just found this page (result of a Google search) and notice the "Talk (Latch)" is separate from the "Talk (Flip-Flop)", even though "Latch" redirects to "Flip-Flop". Latch has 12 topics, while Flip-Flop has 54. Should they be combined? How is this generally handle on WP? Jimw338 (talk) 21:17, 7 March 2019 (UTC)[reply]