Jump to content

Property Specification Language: Difference between revisions

From Wikipedia, the free encyclopedia
Content deleted Content added
Zoe (talk | contribs)
m Reverted edits by Snowspinner to last version by Aranda56
some googling for more information
Line 6: Line 6:
[[Category:Pages for deletion]]
[[Category:Pages for deletion]]
<!-- End of AfD message, feel free to edit beyond this point -->
<!-- End of AfD message, feel free to edit beyond this point -->
'''Property Specification Language''' is a language [[standard]]ized by [[Accellera]] for specifying [[Property (philosophy)|properties]] or [[assertion (computing)|assertions]] about [[hardware]] designs. The properties can then be [[simulation|simulated]] or [[Formal verification|formally verified]]. It comes in two flavors, one for [[VHDL]] and one for [[Verilog]].


'''Property Specification Language''' is a language developed by [[Accellera]] for specifying [[Property (philosophy)|properties]] or [[assertion (computing)|assertions]] about [[hardware]] designs. Since [[September]] [[2004]] the [[standard]]ization on the language has been done in [[IEEE]] 1850 working group. The properties can then be [[simulation|simulated]] or [[Formal verification|formally verified]].
More information is available at

Propery Specification Language aims to be used with multiple electronic system design languages such as
* [[VHDL]] (IEEE 1076),
* [[Verilog]] (IEEE 1364),
* [[System Verilog]] (IEEE 1800), and
* [[SystemC]] by [[OSCI]].

== See also ==

* [http://www.eda.org/ieee-1850 IEEE 1850 working group]
* [http://www.accellera.org/ Accellera]
* [http://www.accellera.org/ Accellera]
* [http://www.pslsugar.org/ The PSL/Sugar Consortium]
* [http://www.pslsugar.org/ The PSL/Sugar Consortium]
* [http://www.doulos.com/knowhow/psl/ Designers guide to PSL]
* [http://www.doulos.com/knowhow/psl/ Designers guide to PSL]

{{compu-lang-stub}}
{{compu-lang-stub}}

[[Category: Hardware description languages]]
[[Category: Hardware description languages]]
[[Category: Electronic Design Automation]]
[[Category: Electronic Design Automation]]

Revision as of 11:01, 11 October 2005

Property Specification Language is a language developed by Accellera for specifying properties or assertions about hardware designs. Since September 2004 the standardization on the language has been done in IEEE 1850 working group. The properties can then be simulated or formally verified.

Propery Specification Language aims to be used with multiple electronic system design languages such as

See also