Jump to content

Gray code: Difference between revisions

From Wikipedia, the free encyclopedia
Content deleted Content added
m →‎See also: spelling
m move motivation section to earlier in the article since that section best explains what a gray code is
Line 47: Line 47:


The code was later named after Gray by others who used it. Two different 1953 patent applications give "Gray code" as an alternative name for the "reflected binary code";<ref name="pat1">J. Breckman. ''Encoding Circuit'', Jan 31, 1956 (filed Dec. 1953). {{US patent|2733432}}</ref><ref name="pat2">E. A. Ragland et al. ''Direction-Sensitive Binary Code Position Control System'', Feb. 11, 1958 (filed Oct. 1953). {{US patent|2823345}}</ref> one of those also lists "minimum error code" and "cyclic permutation code" among the names.<ref name="pat2"/> A 1954 patent application refers to "the Bell Telephone Gray code".<ref name="pat3">S. Reiner et al. ''Automatic Rectification System'', Jun 24, 1958 (filed Jan. 1954). {{US patent|2839974}}</ref>
The code was later named after Gray by others who used it. Two different 1953 patent applications give "Gray code" as an alternative name for the "reflected binary code";<ref name="pat1">J. Breckman. ''Encoding Circuit'', Jan 31, 1956 (filed Dec. 1953). {{US patent|2733432}}</ref><ref name="pat2">E. A. Ragland et al. ''Direction-Sensitive Binary Code Position Control System'', Feb. 11, 1958 (filed Oct. 1953). {{US patent|2823345}}</ref> one of those also lists "minimum error code" and "cyclic permutation code" among the names.<ref name="pat2"/> A 1954 patent application refers to "the Bell Telephone Gray code".<ref name="pat3">S. Reiner et al. ''Automatic Rectification System'', Jun 24, 1958 (filed Jan. 1954). {{US patent|2839974}}</ref>

==Motivation==

Many devices indicate position by closing and opening switches. If that device uses [[binary numeral system|natural binary codes]], these two positions would be right next to each other:

...
011
100
...

The problem with [[binary numeral system|natural binary codes]] is that, with real (mechanical) switches, it is very unlikely that switches will change states exactly in synchrony. In the transition between the two states shown above, all three switches change state. In the brief period while all are changing, the switches will read some spurious position. Even without [[keybounce]], the transition might look like 011 — 001 — 101 — 100. When the switches appear to be in position 001, the observer cannot tell if that is the "real" position 001, or a transitional state between two other positions. If the output feeds into a [[sequential logic|sequential]] system (possibly via [[combinational logic]]) then the sequential system may store a false value.

The reflected binary code solves this problem by changing only one switch at a time, so there is never any ambiguity of position,

Dec Gray Binary
0 000 000
1 001 001
2 011 010
3 010 011
4 110 100
5 111 101
6 101 110
7 100 111

Notice that state 7 can roll over to state 0 with only one switch change. This is called the "cyclic" property of a Gray code. A good way to remember Gray coding is by being aware that the least significant bit follows a repetitive pattern of 2. That is 11, 00, 11 etc. and the second digit follows a pattern of fours.

More formally, a '''Gray code''' is a code assigning to each of a contiguous set of [[integer]]s, or to each member of a circular list, a word of symbols such that each two adjacent code words differ by one symbol. These codes are also known as ''single-distance codes'', reflecting the [[Hamming distance]] of 1 between adjacent codes. There can be more than one Gray code for a given word length, but the term was first applied to a particular [[binary numeral system|binary]] code for the non-negative integers, the ''binary-reflected Gray code'', or '''BRGC''', the three-bit version of which is shown above.


== History and practical application ==
== History and practical application ==
Line 90: Line 117:


Perhaps the most common electronic counter with the "only one bit changes at a time" property is the [[Johnson counter]].
Perhaps the most common electronic counter with the "only one bit changes at a time" property is the [[Johnson counter]].

==Motivation==

Many devices indicate position by closing and opening switches. If that device uses [[binary numeral system|natural binary codes]], these two positions would be right next to each other:

...
011
100
...

The problem with [[binary numeral system|natural binary codes]] is that, with real (mechanical) switches, it is very unlikely that switches will change states exactly in synchrony. In the transition between the two states shown above, all three switches change state. In the brief period while all are changing, the switches will read some spurious position. Even without [[keybounce]], the transition might look like 011 — 001 — 101 — 100. When the switches appear to be in position 001, the observer cannot tell if that is the "real" position 001, or a transitional state between two other positions. If the output feeds into a [[sequential logic|sequential]] system (possibly via [[combinational logic]]) then the sequential system may store a false value.

The reflected binary code solves this problem by changing only one switch at a time, so there is never any ambiguity of position,

Dec Gray Binary
0 000 000
1 001 001
2 011 010
3 010 011
4 110 100
5 111 101
6 101 110
7 100 111

Notice that state 7 can roll over to state 0 with only one switch change. This is called the "cyclic" property of a Gray code. A good way to remember Gray coding is by being aware that the least significant bit follows a repetitive pattern of 2. That is 11, 00, 11 etc. and the second digit follows a pattern of fours.

More formally, a '''Gray code''' is a code assigning to each of a contiguous set of [[integer]]s, or to each member of a circular list, a word of symbols such that each two adjacent code words differ by one symbol. These codes are also known as ''single-distance codes'', reflecting the [[Hamming distance]] of 1 between adjacent codes. There can be more than one Gray code for a given word length, but the term was first applied to a particular [[binary numeral system|binary]] code for the non-negative integers, the ''binary-reflected Gray code'', or '''BRGC''', the three-bit version of which is shown above.


== Constructing an ''n''-bit Gray code==
== Constructing an ''n''-bit Gray code==

Revision as of 03:32, 8 February 2011

2-bit Gray code
00
01
11
10
3-bit Gray code
000
001
011
010
110
111
101
100
4-bit Gray code
0000
0001
0011
0010
0110
0111
0101
0100
1100
1101
1111
1110
1010
1011
1001
1000

The reflected binary code, also known as Gray code after Frank Gray, is a binary numeral system where two successive values differ in only one bit.

The reflected binary code was originally designed to prevent spurious output from electromechanical switches. Today, Gray codes are widely used to facilitate error correction in digital communications such as digital terrestrial television and some cable TV systems.

Name

Gray's patent introduces the term "reflected binary code"

Bell Labs researcher Frank Gray introduced the term reflected binary code in his 1947 patent application, remarking that the code had "as yet no recognized name".[1] He derived the name from the fact that it "may be built up from the conventional binary code by a sort of reflection process".

The code was later named after Gray by others who used it. Two different 1953 patent applications give "Gray code" as an alternative name for the "reflected binary code";[2][3] one of those also lists "minimum error code" and "cyclic permutation code" among the names.[3] A 1954 patent application refers to "the Bell Telephone Gray code".[4]

Motivation

Many devices indicate position by closing and opening switches. If that device uses natural binary codes, these two positions would be right next to each other:

...
011
100
...

The problem with natural binary codes is that, with real (mechanical) switches, it is very unlikely that switches will change states exactly in synchrony. In the transition between the two states shown above, all three switches change state. In the brief period while all are changing, the switches will read some spurious position. Even without keybounce, the transition might look like 011 — 001 — 101 — 100. When the switches appear to be in position 001, the observer cannot tell if that is the "real" position 001, or a transitional state between two other positions. If the output feeds into a sequential system (possibly via combinational logic) then the sequential system may store a false value.

The reflected binary code solves this problem by changing only one switch at a time, so there is never any ambiguity of position,

Dec  Gray   Binary
 0   000    000
 1   001    001
 2   011    010
 3   010    011
 4   110    100
 5   111    101
 6   101    110
 7   100    111

Notice that state 7 can roll over to state 0 with only one switch change. This is called the "cyclic" property of a Gray code. A good way to remember Gray coding is by being aware that the least significant bit follows a repetitive pattern of 2. That is 11, 00, 11 etc. and the second digit follows a pattern of fours.

More formally, a Gray code is a code assigning to each of a contiguous set of integers, or to each member of a circular list, a word of symbols such that each two adjacent code words differ by one symbol. These codes are also known as single-distance codes, reflecting the Hamming distance of 1 between adjacent codes. There can be more than one Gray code for a given word length, but the term was first applied to a particular binary code for the non-negative integers, the binary-reflected Gray code, or BRGC, the three-bit version of which is shown above.

History and practical application

Reflected binary codes were applied to mathematical puzzles before they became known to engineers. The French engineer Émile Baudot used Gray codes in telegraphy in 1878. He received the French Legion of Honor medal for his work. The Gray code is sometimes attributed, incorrectly,[5] to Elisha Gray (in Principles of Pulse Code Modulation, K. W. Cattermole,[6] for example).

Frank Gray, who became famous for inventing the signaling method that came to be used for compatible color television, invented a method to convert analog signals to reflected binary code groups using vacuum tube-based apparatus. The method and apparatus were patented in 1953 and the name of Gray stuck to the codes. The "PCM tube" apparatus that Gray patented was made by Raymond W. Sears of Bell Labs, working with Gray and William M. Goodall, who credited Gray for the idea of the reflected binary code.[7]

Part of front page of Gray's patent, showing PCM tube (10) with reflected binary code in plate (15)

The use of his eponymous codes that Gray was most interested in was to minimize the effect of error in the conversion of analog signals to digital; his codes are still used today for this purpose, and others.

Position encoders

Rotary encoder for angle-measuring devices marked in 3-bit binary-reflected Gray code (BRGC)
A Gray code absolute rotary encoder with 13 tracks. At the top can be seen the housing, interrupter disk, and light source; at the bottom can be seen the sensing element and support components.

Gray codes are used in position encoders (linear encoders and rotary encoders), in preference to straightforward binary encoding. This avoids the possibility that, when several bits change in the binary representation of an angle, a misread will result from some of the bits changing before others. Originally, the code pattern was electrically conductive, supported (in a rotary encoder) by an insulating disk. Each track had its own stationary metal spring contact; one more contact made the connection to the pattern. That common contact was connected by the pattern to whichever of the track contacts were resting on the conductive pattern. However, sliding contacts wear out and need maintenance, which favors optical encoders.

Regardless of the care in aligning the contacts, and accuracy of the pattern, a natural-binary code would have errors at specific disk positions, because it is impossible to make all bits change at exactly the same time as the disk rotates. The same is true of an optical encoder; transitions between opaque and transparent cannot be made to happen simultaneously for certain exact positions. Rotary encoders benefit from the cyclic nature of Gray codes, because consecutive positions of the sequence differ by only one bit.

Tower of Hanoi

The binary-reflected Gray code can also be used to serve as a solution guide for the Tower of Hanoi problem, as well a classical Chinese-rings puzzle and a sequential mechanical puzzle mechanism.[5] It also forms a Hamiltonian cycle on a hypercube, where each bit is seen as one dimension.

Genetic algorithms

Due to the Hamming distance properties of Gray codes, they are sometimes used in Genetic Algorithms. They are very useful in this field, since mutations in the code allow for mostly incremental changes, but occasionally a single bit-change can cause a big leap and lead to new properties.

Karnaugh maps

Gray codes are also used in labelling the axes of Karnaugh maps.[8]

Error correction

In modern digital communications, Gray codes play an important role in error correction. For example, in a digital modulation scheme such as QAM where data is typically transmitted in symbols of 4 bits or more, the signal's constellation diagram is arranged so that the bit patterns conveyed by adjacent constellation points differ by only one bit. By combining this with forward error correction capable of correcting single-bit errors, it is possible for a receiver to correct any transmission errors that cause a constellation point to deviate into the area of an adjacent point. This makes the transmission system less susceptible to noise.

Communication between clock domains

Digital logic designers use Gray codes extensively for passing multi-bit count information between synchronous logic that operates at different clock frequencies. The logic is considered operating in different "clock domains". It is fundamental to the design of large chips that operate with many different clocking frequencies.

Gray code counters and arithmetic

A typical use of Gray code counters is building a FIFO (first-in, first-out) data buffer that has read and write ports that exist in different clock domains. The input and output counters inside such a dual-port FIFO are often stored using Gray code to prevent invalid transient states from being captured when the count crosses clock domains.[9] The updated read and write pointers need to be passed between clock domains when they change, to be able to track FIFO empty and full status in each domain. Each bit of the pointers is sampled non-deterministically for this clock domain transfer. So for each bit, either the old value or the new value is propagated. Therefore, if more than one bit in the multi-bit pointer is changing at the sampling point, a "wrong" binary value (neither new nor old) can be propagated. By guaranteeing only one bit can be changing, Gray codes guarantee that the only possible sampled values are the new or old multi-bit value. Typically Gray codes of power-of-two length are used.

Sometimes digital buses in electronic systems are used to convey quantities that can only increase or decrease by one at a time, for example the output of an event counter which is being passed between clock domains or to a digital-to-analog converter. The advantage of Gray codes in these applications is that differences in the propagation delays of the many wires that represent the bits of the code cannot cause the received value to go through states that are out of the Gray code sequence. This is similar to the advantage of Gray codes in the construction of mechanical encoders, however the source of the Gray code is an electronic counter in this case. The counter itself must count in Gray code, or if the counter runs in binary then the output value from the counter must be reclocked after it has been converted to Gray code, because when a value is converted from binary to Gray code, it is possible that differences in the arrival times of the binary data bits into the binary-to-Gray conversion circuit will mean that the code could go briefly through states that are wildly out of sequence. Adding a clocked register after the circuit that converts the count value to Gray code may introduce a clock cycle of latency, so counting directly in Gray code may be advantageous. A Gray code counter was patented in 1962 US3020481, and there have been many others since. In recent times a Gray code counter can be implemented as a state machine in Verilog. In order to produce the next count value, it is necessary to have some combinational logic that will increment the current count value that is stored in Gray code. Probably the most obvious way to increment a Gray code number is to convert it into ordinary binary code, add one to it with a standard binary adder, and then convert the result back to Gray code. This approach was discussed in a paper in 1996 [10] and then subsequently patented by someone else in 1998 US5754614. Other methods of counting in Gray code are discussed in a report by R. W. Doran, including taking the output from the first latches of the master-slave flip flops in a binary ripple counter.[11]

Perhaps the most common electronic counter with the "only one bit changes at a time" property is the Johnson counter.

Constructing an n-bit Gray code

The first few steps of the reflect-and-prefix method.

The binary-reflected Gray code list for n bits can be generated recursively from the list for n−1 bits by reflecting the list (i.e. listing the entries in reverse order), concatenating the original list with the reversed list, prefixing the entries in the original list with a binary 0, and then prefixing the entries in the reflected list with a binary 1. For example, generating the n = 3 list from the n = 2 list:

2-bit list: 00, 01, 11, 10  
Reflected:   10, 11, 01, 00
Concatenated: 00, 01, 11, 10, 10, 11, 01, 00
Prefix old entries with 0: 000, 001, 011, 010, 10, 11, 01, 00
Prefix new entries with 1: 000, 001, 011, 010, 110, 111, 101, 100

The one-bit Gray code is G1 = (0, 1). This can be thought of as built recursively as above from a zero-bit Gray code G0 = { Λ } consisting of a single entry of zero length. This iterative process of generating Gn+1 from Gn makes the following properties of the standard reflecting code clear:

  • Gn is a permutation of the numbers 0, ... , 2n−1. (Each number appears exactly once in the list.)
  • Gn is embedded as the first half of Gn+1.
  • Therefore the coding is stable, in the sense that once a binary number appears in Gn it appears in the same position in all longer lists; so it makes sense to talk about the reflective Gray code value of a number: G(m) = the m-th reflecting Gray code, counting from 0.
  • Each entry in Gn differs by only one bit from the previous entry. (The Hamming distance is 1.)
  • The last entry in Gn differs by only one bit from the first entry. (The code is cyclic.)

These characteristics suggest a simple and fast method of translating a binary value into the corresponding Gray code. Each bit is inverted if the next higher bit of the input value is set to one. This can be performed in parallel by a bit-shift and exclusive-or operation if they are available: the nth Gray code is obtained by computing

A similar method can be used to perform the reverse translation, but the computation of each bit depends on the computed value of the next higher bit so it cannot be performed in parallel. Assuming is the th gray-coded bit ( being the most significant bit), and is the th binary-coded bit ( being the most-significant bit), the reverse translation can be given recursively: , and

To construct the binary-reflected Gray code iteratively, start with the code 0, and at step i find the bit position of the least significant '1' in the binary representation of i - flip the bit at that position in the previous code to get the next code. The bit positions start 0, 1, 0, 2, 0, 1, 0, 3, ... (sequence A007814 in the OEIS).

Special types of Gray codes

In practice, a "Gray code" almost always refers to a binary-reflected Gray code (BRGC). However, mathematicians have discovered other kinds of Gray codes. Like BRGCs, each consists of a lists of words, where each word differs from the next in only one digit (each word has a Hamming distance of 1 from the next word).

n-ary Gray code

There are many specialized types of Gray codes other than the binary-reflected Gray code. One such type of Gray code is the n-ary Gray code, also known as a non-Boolean Gray code. As the name implies, this type of Gray code uses non-Boolean values in its encodings.

For example, a 3-ary (ternary) Gray code would use the values {0, 1, 2}. The (nk)-Gray code is the n-ary Gray code with k digits.[12] The sequence of elements in the (3, 2)-Gray code is: {00, 01, 02, 12, 10, 11, 21, 22, 20}. The (nk)-Gray code may be constructed recursively, as the BRGC, or may be constructed iteratively. An algorithm to iteratively generate the (Nk)-Gray code is presented (in C):

 // parameters: value, base, digits
 // Convert a value to a graycode with the given base and digits. Iterating
 // through a sequence of values would result in a sequence of graycodes in
 // which only one digit changes at a time.
 
 int baseN[digits];  // Stores the ordinary base-N number, one digit per entry
 int gray[digits];   // Stores the base-N graycode number
 int i;              // The loop variable
 
 // Put the normal baseN number into the baseN array. For base 10, 109 
 // would be stored as [9,0,1]
 int tempvalue = value;
 for(i = 0; i < digits; i++) {
 	baseN[i] = tempvalue % base;
        tempvalue /= base;
 }
 
 // Convert the normal baseN number into the graycode equivalent. Note that
 // the loop starts at the most significant digit and goes down.
 int shift = 0;
 for(i = digits - 1; i >= 0; i--) {
 	// The gray digit gets shifted down equal to the sum of the higher
 	// digits.
 	gray[i] = (baseN[i] - shift) % base;
 	shift += gray[i] - base;  // - base to prevent negative in mod operation
 }
 // EXAMPLES
 // input: value = 1899, base = 10, digits = 4
 // output: baseN[] = [9,9,8,1], gray[] = [0,1,7,1]
 // input: value = 1900, base = 10, digits = 4
 // output: baseN[] = [0,0,9,1], gray[] = [0,1,8,1]
Ternary number ->

ternary Gray code

  0 -> 000
  1 -> 001
  2 -> 002
 10 -> 012
 11 -> 010
 12 -> 011
 20 -> 021
 21 -> 022
 22 -> 020
100 -> 120
101 -> 121
102 -> 122
110 -> 102
111 -> 100
112 -> 101
120 -> 111
121 -> 112
122 -> 110
200 -> 210
201 -> 211
202 -> 212
210 -> 222
211 -> 220
212 -> 221
220 -> 201
221 -> 202
222 -> 200

There are other graycode algorithms for (n,k)-Gray codes. It is important to note that the (n,k)-Gray codes produced by the above algorithm is always cyclical; some algorithms, such as that by Guan,[12] lack this property when k is odd. On the other hand, while only one digit at a time changes with this method, it can change by wrapping (looping from n-1 to 0). In Guan's algorithm, the count alternately rises and falls, so that the numeric difference between two graycode digits is always one.

Gray codes are not uniquely defined, because a permutation of the columns of such a code is a Gray code too. The above procedure produces a code in which the lower the significance of a digit, the more often it changes, making it similar to normal counting methods.

Balanced Gray code

Although the binary reflected Gray code is useful in many scenarios, it is not optimal in certain cases because of a lack of "uniformity".[13] In balanced Gray codes, we seek to make the number of changes in each coordinate position as close as possible. To make this more precise, let G be a R-ary complete Gray cycle having transition sequence ; the transition counts (spectrum) of are the collection of integers defined by

We say that a Gray code is uniform or uniformly balanced if its transition counts are all equal, in which case we have for all k. Clearly, when , such codes exist only if n is a power of 2. Otherwise, if n does not divide evenly, the best we can do is to construct \textit{well-balanced} codes where every transition count is either or . Gray codes can also be exponentially balanced if all of their transition counts are adjacent powers of two, and such codes exist for every power of two.[14]

We will now show a construction for well-balanced binary Gray codes which allows us to generate a n-digit balanced Gray code for every n.[15] The main principle is to inductively construct a -digit Gray code given an n-digit Gray code G in such a way that the balanced property is preserved. To do this, we consider partitions of into an even number L of non-empty blocks of the form

where , and (mod ). This partition induces a -digit Gray code in given by

If we define the transition multiplicities to be the number of times the digit in position i changes between consecutive blocks in a partition, then for the -digit Gray code induced by this partition the transition spectrum is

The delicate part of this construction is to find an adequate partitioning of a balanced n-digit Gray code such that the code induced by it remains balanced. Uniform codes can be found when and , and this construction can be extended to the R-ary case as well.[15]


Monotonic Gray codes

Monotonic codes are useful in the theory of interconnection networks, especially for minimizing dilation for linear arrays of processors.[16] If we define the weight of a binary string to be the number of 1's in the string, then although we clearly cannot have a Gray code with strictly increasing weight, we may want to approximate this by having the code run through two adjacent weights before reaching the next one.

We can formalize the concept of monotone Gray codes as follows: consider the partition of the hypercube into levels of vertices that have equal weight, i.e.

for . These levels satisfy . Let be the subgraph of induced by , and let be the edges in . A monotonic Gray code is then a Hamiltonian path in such that whenever comes before in the path, then .

An elegant construction of monotonic n-digit Gray codes for any n is based on the idea of recursively building subpaths of length having edges in .[16] We define , whenever or , and

otherwise. Here, is a suitably-defined permutation and refers to the path P with its coordinates permuted by . These paths give rise to two monotonic n-digit Gray codes and given by

The choice of which ensures that these codes are indeed Gray codes turns out to be . The first few values of are shown in the table below.

Subpaths in the Savage-Winkler algorithm
j = 0 j = 1 j = 2 j = 3
n = 1 0, 1
n = 2 00, 01 10, 11
n = 3 000, 001 100, 110, 010, 011 101, 111
n = 4 0000, 0001 1000, 1100, 0100, 0110, 0010, 0011 1010, 1011, 1001, 1101, 0101, 0111 1110, 1111

These monotonic Gray codes can be efficiently implemented in such a way that each subsequent element can be generated in O(n) time. The algorithm is most easily described using coroutines.

Monotonic codes have an interesting connection to the Lovász conjecture, which states that every connected vertex-transitive graph contains a Hamiltonian path. The "middle-level" subgraph is vertex-transitive (that is, its automorphism group is transitive, so that each vertex has the same "local environment"" and cannot be differentiated from the others, since we can relabel the coordinates as well as the binary digits to obtain an automorphism) and the problem of finding a Hamiltonian path in this subgraph is called the "middle-levels problem", which can provide insights into the more general conjecture. The question has been answered affirmatively for , and the preceding construction for monotonic codes ensures a Hamiltonian path of length at least 0.839N where N is the number of vertices in the middle-level subgraph.[17]

Beckett–Gray code

Another interesting type of Gray code is the Beckett–Gray code. The Beckett–Gray code is named after Samuel Beckett, an Irish playwright especially interested in symmetry. One of his plays, "Quad", was divided into sixteen time periods. At the end of each time period, Beckett wished to have one of the four actors either entering or exiting the stage; he wished the play to begin and end with an empty stage; and he wished each subset of actors to appear on stage exactly once.[18] Clearly, this meant the actors on stage could be represented by a 4-bit binary Gray code. Beckett placed an additional restriction on the scripting, however: he wished the actors to enter and exit such that the actor who had been on stage the longest would always be the one to exit. The actors could then be represented by a first in, first out queue data structure, so that the first actor to exit when a dequeue is called for is always the first actor which was enqueued into the structure.[18] Beckett was unable to find a Beckett–Gray code for his play, and indeed, an exhaustive listing of all possible sequences reveals that no such code exists for n = 4. Computer scientists interested in the mathematics behind Beckett–Gray codes have found these codes very difficult to work with. It is today known that codes exist for n = {2, 5, 6, 7, 8} and they do not exist for n = {3, 4}. An example of an 8-bit Beckett–Gray code can be found in.[5] According to,[19] the search space for n = 6 can be explored in 15 hours, and more than 9,500 solutions for the case n = 7 have been found.

Snake-in-the-box codes

Snake-in-the-box codes, or snakes, are the sequences of nodes of induced paths in an n-dimensional hypercube graph, and coil-in-the-box codes, or coils, are the sequences of nodes of induced cycles in a hypercube. Viewed as Gray codes, these sequences have the property of being able to detect any single-bit coding error. Codes of this type were first described by W. H. Kautz in the late 1950s;[20] since then, there has been much research on finding the code with the largest possible number of codewords for a given hypercube dimension.

Single-track Gray code

Yet another kind of Gray code is the single-track Gray code (STGC), originally developed by N. B. Spedding (NZ Patent 264738 - October 28, 1994)[21] and later [verification needed] refined by Hiltgen, Paterson and Brandestini in "Single-track Gray codes" (1996).[22] The STGC is a cyclical list of P unique binary encodings of length n such that two consecutive words differ in exactly one position, and when the list is examined as a P x n matrix, each column is a cyclic shift of the first column.[23]

The name comes from their use with rotary encoders, where a number of tracks are being sensed by contacts, resulting for each in an output of 0 or 1. To reduce noise due to different contacts not switching at the exact same moment in time, one preferably sets up the tracks so that the data output by the contacts are in Gray code. To get high angular accuracy, one needs lots of contacts; in order to achieve at least 1 degree accuracy, one needs at least 360 distinct positions per revolution, which requires a minimum of 9 bits of data, and thus the same number of contacts.

If all contacts are placed at the same angular position, then 9 tracks are needed to get a standard BRGC with at least 1 degree accuracy. However, if the manufacturer moves a contact to a different angular position (but at the same distance from the center shaft), then the corresponding "ring pattern" needs to be rotated the same angle to give the same output. If the most significant bit (the inner ring in Figure 1) is rotated enough, it exactly matches the next ring out. Since both rings are then identical, the inner ring can be cut out, and the sensor for that ring moved to the remaining, identical ring (but offset at that angle from the other sensor on that ring). Those 2 sensors on a single ring make a quadrature encoder. That reduces the number of tracks for a "1 degree resolution" angular encoder to 8 tracks. Reducing the number of tracks still further can't be done with BRGC.

For many years, Torsten Sillke and other mathematicians believed that it was impossible to encode position on a single track such that consecutive positions differed at only a single sensor, except for the 2-sensor, 1-track quadrature encoder. So for applications where 8 tracks were too bulky, people used single-track incremental encoders (quadrature encoders) or 2-track "quadrature encoder + reference notch" encoders.

However, in 1994 N. B. Spedding registered a patent showing it was possible, with several examples.[21] Later Hiltgen, Paterson and Brandestini published a paper showing in particular, a single-track gray code could be constructed that has exactly 360 angular positions [citation needed], using only 9 sensors, the same as a BRGC with the same resolution (it would be impossible to discriminate that many positions with any fewer sensors).

An STGC for P = 30 and n = 5 is reproduced here:

10000
10100
11100
11110
11010
11000
01000
01010
01110
01111
01101
01100
00100
00101
00111
10111
10110
00110
00010
10010
10011
11011
01011
00011
00001
01001
11001
11101
10101
10001

Note that each column is a cyclic shift of the first column, and from any row to the next row only one bit changes.[24] The single-track nature (like a code chain) is useful in the fabrication of these wheels (compared to BRGC), as only one track is needed, thus reducing their cost and size. The Gray code nature is useful (compared to chain codes, also called De Bruijn sequences), as only one sensor will change at any one time, so the uncertainty during a transition between two discrete states will only be plus or minus one unit of angular measurement the device is capable of resolving.[25]

See also

Footnotes

  1. ^ F. Gray. Pulse code communication, March 17, 1953 (filed Nov. 1947). U.S. patent 2,632,058
  2. ^ J. Breckman. Encoding Circuit, Jan 31, 1956 (filed Dec. 1953). U.S. patent 2,733,432
  3. ^ a b E. A. Ragland et al. Direction-Sensitive Binary Code Position Control System, Feb. 11, 1958 (filed Oct. 1953). U.S. patent 2,823,345
  4. ^ S. Reiner et al. Automatic Rectification System, Jun 24, 1958 (filed Jan. 1954). U.S. patent 2,839,974
  5. ^ a b c Knuth, Donald E. "Generating all n-tuples." The Art of Computer Programming, Volume 4A: Enumeration and Backtracking, pre-fascicle 2a, October 15, 2004. [1]
  6. ^ K. W. Cattermole, Principles of Pulse Code Modulation, American Elsevier Publishing Company, Inc., 1969, New York NY, ISBN 0-444-19747-8.
  7. ^ W. M. Goodall, "Television by Pulse Code Modulation," Bell Sys. Tech. J., Vol. 30 pp. 33–49, 1951.
  8. ^ Wakerly, John F (1994). Digital Design: Principles & Practices. New Jersey: Prentice Hall. pp. 222, 48–49. ISBN 0132114593. Note that the two page sections taken together say that K-maps are labeled with Gray code. The first section says that they are labeled with a code that changes only one bit between entries and the second section says that such a code is called Gray code.
  9. ^ "Synchronization in Digital Logic Circuits by Ryan Donohue
  10. ^ Mehta, H.; Owens, R.M. & Irwin, M.J. (1996), Some issues in gray code addressing, in the Proceedings of the 6th Great Lakes Symposium on VLSI (GLSVLSI 96), IEEE Computer Society,pp. 178
  11. ^ The Gray Code by R. W. Doran
  12. ^ a b Guan, Dah-Jyh (1998). "Generalized Gray Codes with Applications" (PDF). Proc. Natl. Sci. Counc. Repub. Of China (A). 22: 841–848.
  13. ^ Girish S. Bhat and Carla D. Savage (1996). "Balanced Gray codes". The Electronic Journal of Combinatorics. 3 (1): R25.
  14. ^ I. N Suparta (2005). "A simple proof for the existence of exponentially balanced Gray codes". Electron. J. Combin. 12.
  15. ^ a b M. Flahive and B. Bose (2007). "Balancing cyclic R-ary Gray codes". the electronic journal of combinatorics. 14.
  16. ^ a b C. D Savage and P. Winkler (1995). "Monotone Gray codes and the middle levels problem". Journal of Combinatorial Theory, Series A. 70 (2): 230–248. ISSN 0097-3165.
  17. ^ C. D Savage (1997). "Long cycles in the middle two levels of the Boolean lattice". {{cite journal}}: Cite journal requires |journal= (help)
  18. ^ a b Goddyn, Luis (1999). "MATH 343 Applied Discrete Math Supplementary Materials" (PDF). Dept. of Math, Simon Fraser U.
  19. ^ J. Sawada and D. Wong, "A Fast Algorithm to generate Beckett-Gray codes" Electronic notes in Discrete Mathematics (EuroComb 2007) Vol . 29 pp. 571–577, 2007.
  20. ^ Kautz, W. H. (1958). "Unit-distance error-checking codes". IRE Trans. Elect. Comput. 7: 177–180.
  21. ^ a b "A position encoder" (PDF). {{cite journal}}: Cite journal requires |journal= (help); Unknown parameter |country-code= ignored (help); Unknown parameter |description= ignored (help); Unknown parameter |inventor-first= ignored (help); Unknown parameter |inventor-last= ignored (help); Unknown parameter |inventorlink= ignored (help); Unknown parameter |issue-date= ignored (help); Unknown parameter |patent-number= ignored (help)
  22. ^ Hiltgen, Alain P. (1996). "Single-Track Gray Codes" (PDF). IEEE Transactions on Information Theory. 42: 1555–1561. doi:10.1109/18.532900. {{cite journal}}: Unknown parameter |coauthors= ignored (|author= suggested) (help)
  23. ^ Etzion, Tuvi (1999). "The Structure of Single-Track Gray Codes" (PDF). IEEE Transactions on Information Theory. 45: 2383–2396. doi:10.1109/18.796379. {{cite journal}}: Unknown parameter |coauthors= ignored (|author= suggested) (help)
  24. ^ "Venn Diagram Survey — Symmetric Diagrams". The Electronic Journal of Combinatorics. 2001.
  25. ^ Alciatore and Histand. "Introduction to Mechatronics and Measurement Systems".

References