Jump to content

Multi-chip module

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by 20.133.0.8 (talk) at 08:14, 13 April 2016 (External links: Dead link: * Multi-Chip Modules [http://rf2m.apitech.com/custom-hybrids-and-mcms.html API Technologies RF2M Division]). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

POWER5 MCM with four processors and four 36 MB external L3 cache dies on a ceramic multi-chip module.

A multi-chip module (MCM) is generically an electronic assembly (such as a package with a number of conductor terminals or ``pins") where multiple integrated circuits (ICs), semiconductor dies and/or other discrete components are integrated, usually onto a unifying substrate, so that in use it is treated as if it were a single component (as though a larger IC).[1] Other terms, such as "hybrid" or Hybrid integrated circuit, also refer to MCMs.

Overview

Multi-Chip Modules come in a variety of forms depending on the complexity and development philosophies of their designers. These can range from using pre-packaged ICs on a small printed circuit board (PCB) meant to mimic the package footprint of an existing chip package to fully custom chip packages integrating many chip dies on a High Density Interconnection (HDI) substrate.

Multi-Chip Module packaging is an important facet of modern electronic miniaturization and micro-electronic systems. MCMs are classified according to the technology used to create the HDI (High Density Interconnection) substrate.

  • MCM-L – laminated MCM. The substrate is a multi-layer laminated PCB (Printed circuit board).
  • MCM-D – deposited MCM. The modules are deposited on the base substrate using thin film technology.
  • MCM-C – ceramic substrate MCMs, such as LTCC.

Chip stack MCMs

A relatively new development in MCM technology is the so-called "chip-stack" package.[2] Certain ICs, memories in particular, have very similar or identical pinouts when used multiple times within systems. A carefully designed substrate can allow these dies to be stacked in a vertical configuration making the resultant MCM's footprint much smaller (albeit at the cost of a thicker or taller chip). Since area is more often at a premium in miniature electronics designs, the chip-stack is an attractive option in many applications such as cell phones and personal digital assistants (PDAs). After a thinning process, as many as ten dies can be stacked to create a high capacity SD memory card.[3]

Examples of MCM technologies

See also

References

  1. ^ Rao Tummala, Solid State Technology. “SoC vs. MCM vs SiP vs. SoP.” Retrieved August 4, 2015.
  2. ^ Jon Worrel (15 April 2012). "Intel migrates to desktop Multi-Chip Modules (MCMs) with 14nm Broadwell". Fudzilla.
  3. ^ Richard Chirgwin, The Register. “Memory vendors pile on '3D' stacking standard.” April 2, 2013. February 5, 2016.
  4. ^ Satoru Iwata, Iwata Asks. “Changes in Television.” Retrieved August 4, 2015.