Jump to content

Optical proximity correction

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by Devanney (talk | contribs) at 05:52, 9 December 2008 (→‎See also: + computational lithography). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

Optical proximity correction (OPC) is a photolithography enhancement technique commonly used to compensate for image errors due to diffraction or process effects. The need for OPC is seen mainly in the making of semiconductor devices and is due to the limitations of light to resolve ever-finer details of patterns on the photomasks that are used to etch semiconductor passivation layers and create the building blocks of the transistors and other elements that make up integrated circuits. These projected images appear with irregularities such as rounded corners and with trace widths that are narrower than designed. Such distortions would significantly alter the electrical properties of what was being fabricated if there was no way to compensate for the diffraction effects. OPC anticipates the irregularities of shape and size and applies corrective compensation to the photo mask images, which then produce a light beam that more closely approximates the intended shapes.

The two most common applications for OPC are linewidth differences between features in regions of different density (e.g., center vs. edge of an array, or nested vs. isolated lines), and line end shortening (e.g., gate overlap on field oxide). For the former case, scattering bars (sub-resolution lines placed adjacent to resolvable lines) or simple linewidth adjustments are applied to the design. For the latter case, "dog-ear" (serif or hammerhead) features are attached to the line end in the design. OPC has a cost impact on photomask fabrication, as the addition of OPC features means more spots for defects to manifest themselves. In addition, the data size of the photomask layout goes up exponentially when using OPC.

Impact of resolution: the k1 factor

The conventional diffraction-limited resolution is given by the Rayleigh criterion as where is the numerical aperture and is the wavelength of the illumination source. It is often common to compare the critical feature width to this value, by defining a parameter, such that feature width equals Nested features with benefit less from OPC than isolated features of the same size. The reason is the spatial frequency spectrum of nested features contains fewer components than isolated features. As the feature pitch decreases, more components are truncated by the numerical aperture, resulting in greater difficulty to affect the pattern in the desired fashion.

Impact of spatial coherence

The degree of coherence of the illumination source is determined by the ratio of its angular extent to the numerical aperture. This ratio is often called the partial coherence factor, or .[1] It also affects the pattern quality and hence the application of OPC. The coherence distance in the image plane is given roughly by [2] Two image points separated by more than this distance will effectively be uncorrelated, allowing a simpler OPC application. This distance is in fact close to the Rayleigh criterion for values of close to 1.

Impact of multiple exposure

As the factor has been steadily shrinking over the past technology generations, the anticipated requirement of moving to multiple exposure to generate circuit patterns becomes more real. This approach will affect the application of OPC, as one will need to take into account the sum of the image intensities from each exposure. This is the case for the complementary photomask technique[3], where the images of an alternating-aperture phase-shifting mask and a conventional binary mask are added together.

Impact of multiple-etch patterning

In contrast to multiple exposure of the same photoresist film, multiple layer patterning entails repeated photoresist coating, deposition, and etching to pattern the same device layer. This gives an opportunity to use looser design rules to pattern the same layer. Depending on the lithography tool used to image at these looser design rules, the OPC will be different. Multiple-etch patterning may become a popular technique for future technology generations. A specific form of multiple-etch patterning, using sidewall sacrificial features, is currently the only demonstrated way of systematically patterning features less than 10 nm[4]. The minimum half-pitch corresponds to the deposited thickness of the sacrificial feature.

OPC application today

Today, OPC is rarely practiced without the use of commercial packages from EDA vendors.

The use of OPC is not restricted to the low features which are commonly encountered today, but can be applied to any desired image correction scheme which can be modeled accurately. For example, proximity effect correction in electron beam lithography is included as an automated capability on commercial electron-beam lithography tools. Since many non-lithographic processes exhibit their own proximity effects, e.g., chemical-mechanical polishing or plasma etching, these effects can be mixed in with the original OPC.

See also

References

  1. ^ K. Ronse et al., J. Vac. Sci. and Tech. B, vol. 12, pp. 589-600 (1994).
  2. ^ B. E. A. Saleh and M. C. Teich, Fundamentals of Photonics, pp. 364-5 (Wiley, 1991).
  3. ^ M. E. Kling et al., Proc. SPIE vol. 3679, pp.10-17 (1999)
  4. ^ Y-K Choi et al., J. Phys. Chem. B, vol. 107, pp. 3340-3343 (2003).