Jump to content

Property Specification Language: Difference between revisions

From Wikipedia, the free encyclopedia
Content deleted Content added
m →‎External links: Fix broken link to Property Specification Language Tutorial (remove trailing "/")
removed broken link
Line 12: Line 12:
* [http://standards.ieee.org/announcements/pr_1850psl.html IEEE Announcement September 2005]
* [http://standards.ieee.org/announcements/pr_1850psl.html IEEE Announcement September 2005]
* [http://www.accellera.org/ Accellera]
* [http://www.accellera.org/ Accellera]
* [http://www.pslsugar.org/ The PSL/Sugar Consortium]
* [http://www.project-veripage.com/psl_tutorial_1.php Property Specification Language Tutorial]
* [http://www.project-veripage.com/psl_tutorial_1.php Property Specification Language Tutorial]
* [http://www.doulos.com/knowhow/psl/ Designers guide to PSL]
* [http://www.doulos.com/knowhow/psl/ Designers guide to PSL]

Revision as of 19:50, 17 February 2010

Property Specification Language (PSL) is a language developed by Accellera for specifying properties or assertions about hardware designs. The properties can then be simulated or formally verified. Since September 2004 the standardization on the language has been done in IEEE 1850 working group. In September 2005, the IEEE 1850 Standard for Property Specification Language (PSL) was announced.

Property Specification Language aims to be used with multiple electronic system design languages such as: