Jump to content

Extreme ultraviolet lithography

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by Quinacrine (talk | contribs) at 10:39, 23 June 2013 (→‎EUVL light source: laser and discharge plasma sources). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

Image formation mechanism in EUV lithography. Top: EUV multilayer and absorber (purple) constituting mask pattern for imaging a line. Bottom: EUV radiation (red) reflected from the mask pattern is absorbed in the resist (amber) and substrate (brown), producing photoelectrons and secondary electrons (blue). These electrons increase the extent of chemical reactions in the resist. A secondary electron pattern that is random in nature is superimposed on the optical image. The unwanted secondary electron exposure results in loss of resolution, observable line edge roughness and linewidth variation.

Extreme ultraviolet lithography (also known as EUV or EUVL) is a next-generation lithography technology using an extreme ultraviolet (EUV) wavelength, currently expected to be 13.5 nm.

EUVL light source

Neutral atoms or condensed matter cannot emit EUV radiation. For matter to emit it, ionization must take place first. EUV light can only be emitted by electrons which are bound to multicharged positive ions; for example, to remove an electron from a +3 charged carbon ion (three electrons already removed) requires about 65 eV.[1] Such electrons are more tightly bound than typical valence electrons. The thermal production of multicharged positive ions is only possible in a hot dense plasma, which itself strongly absorbs EUV.[2][3] The Xe or Sn plasma sources for EUV lithography are either discharge-produced or laser-produced. Discharge-produced plasma is made by lightning bolt's worth of electric current through a tin vapor. Laser-produced plasma is made by microscopic droplets of molten tin heated by powerful laser. Laser-produced plasma sources (e.g., ASML's NXE:3300B stepper) outperform discharge-produced plasma sources. Power output exceeding 100 W is a requirement for sufficient throughput. While state-of-the-art 193 nm ArF excimer lasers offer intensities of 200 W/cm2,[4] lasers for producing EUV-generating plasmas need to be much more intense, on the order of 1011 W/cm2.[5] This indicates the enormous energy burden imposed by switching from generating 193 nm light (laser output approaching 100 W)[6] to generating EUV light (required laser or equivalent power source output exceeding 10 kW).[7] An EUV source driven by a 20 kW CO2 laser[8] with ~10% wall plug efficiency[9] consumes an electrical power of ~200 kW, while a 100 W ArF immersion laser with ~1% wall plug efficiency[10] consumes an electrical power of ~10 kW.

A further characteristic of the plasma-based EUV sources under development is that they are not even partially coherent,[11] unlike the KrF and ArF excimer lasers used for current optical lithography. Further power reduction (energy loss) is expected in converting incoherent sources (emitting in all possible directions at many independent wavelengths) to partially coherent (emitting in a limited range of directions within a narrow band of wavelengths) sources by filtering (unwanted wavelengths and directions). On the other hand, coherent light poses a risk of monochromatic reflection interference and mismatch of multilayer reflectance bandwidth.[12]

As of 2008, the development tools had a throughput of 4 wafers per hour with a 120 W source.[13] For a 100 WPH requirement, therefore, a 3 kW source would be needed, which is not available in the foreseeable future. However, EUV photon count is determined by the number of electrons generated per photon which are collected by a photodiode; since this is essentially the highly variable secondary yield of the initial photoelectron, the dose measurement will be impacted by high variability. In fact, data by Gullikson et al.[14] indicated ~10% natural variation of the photocurrent responsivity. More recent data for silicon photodiodes remain consistent with this assessment.[15] Calibration of the EUV dosimeter is a nontrivial unsolved issue.[16] The secondary electron number variability is the well-known root cause of noise in avalanche photodiodes.[17]

The highly relativistic vacuum tubes free-electron lasers and synchrotron radiation sources can give better light quality than material sources can, though high intensity may require development work. Several dedicated industrial synchrotron light facilities have been built, and their applications include semiconductor device fabrication. Free electron lasers offer light that is monochromatic and coherent, as well as narrow in space and angle spread. Both also offer a continuous range of available wavelengths, allowing seamless progress into the X-ray band.[18]

EUVL optics

EUVL is a significant departure from the deep ultraviolet lithography used today. All matter absorbs EUV radiation. Hence, EUV lithography needs to take place in a vacuum. All the optical elements, including the photomask, must make use of defect-free Mo/Si multilayers which act to reflect light by means of interlayer interference; any one of these mirrors will absorb around 30% of the incident light. This limitation can be avoided in maskless interference lithography systems. However, the latter tools are restricted to producing periodic patterns only.

The pre-production EUVL systems built to date contain at least two condenser multilayer mirrors, six projection multilayer mirrors, and a multilayer object (mask). Since the optics already absorbs 96% of the available EUV light, the ideal EUV source will need to be sufficiently bright. EUV source development has focused on plasmas generated by laser or discharge pulses. The mirror responsible for collecting the light is directly exposed to the plasma and is therefore vulnerable to damage from the high-energy ions[19][20] and other debris.[21] This damage associated with the high-energy process of generating EUV radiation has precluded the successful implementation of practical EUV light sources for lithography.

The wafer throughput of an EUVL exposure tool is a critical metric for manufacturing capacity. Given that EUV is a technology requiring high vacuum, the throughput is limited (aside from the source power) by the transfer of wafers into and out of the tool chamber, to a few wafers per hour.[22]

Another aspect of the pre-production EUVL tools is the off-axis illumination (at an angle of 6 degrees)[23] on a multilayer mask. The resulting asymmetry in the diffraction pattern causes shadowing effects which degrade the pattern fidelity.[24]

EUVL's shorter wavelength also increases flare, resulting in less than perfect image quality and increased line width roughness.[25]

Heating per feature volume (e.g., 20 nm cube) is higher per EUV photon compared to a DUV photon, due to higher absorption in resist. In addition, EUV lithography results in more heating due to the vacuum environment, in contrast to the water cooling environment of immersion lithography.

Heating is also a particularly serious issue for multilayer mirrors used, because, as EUV is absorbed within a thin distance from the surface, the heating density is higher. As a result, water cooling is expected to be used for the high heating load; however, the resulting vibration is a concern.[26]

A recent study by NIST and Rutgers University found that multilayer optics contamination was highly affected by the resonant structure of the EUV mirror influencing the photoelectron generation and secondary electron yield.[27]

Since EUV is highly absorbed by all materials, even EUV optical components inside the lithography tool are susceptible to damage, mainly manifest as observable ablation.[28] Such damage is a new concern specific to EUV lithography, as conventional optical lithography systems use mainly transmissive components and electron beam lithography systems do not put any component in the way of electrons, although these electrons end up depositing energy in the exposed sample substrate.

EUV-specific overlay issues

EUV non-telecentricity. The off-axis reflection of light rays from different vertical positions of the reticle leads to different lateral displacements of the imaged feature on the wafer.

Because EUV operates in a vacuum and requires reflective optics, EUV lithography tools have special overlay concerns, recently studied by IMEC, along with ASML.[29] Electrostatic chucks must be used instead of conventional vacuum chucks. Therefore the wafer clamping variability on the electrostatic chuck needs to be dealt with. A backside coating of 200 nm silicon nitride (which must be removed later to allow backside cooling[30] and heatsinking[31][32]) was found to be helpful. Other than this additional step, which also requires first protecting the device layers already patterned,[33][34] zone alignment (using all alignment marks across the wafer, not a standard subset) also provided some improvement. The vacuum environment required by EUV also leads to heating of the wafer without much dissipation. A sacrificial first wafer was found to be necessary to stabilize the chuck temperature. Moreover, the local overlay corrections due to exposure heating requires the use of a second wafer. Thus, an extra wafer per lot is required for overlay stabilization in EUV lithography. The use of reflection causes wafer exposure position to be extremely sensitive to the reticle flatness and the reticle clamp. Reticle clamp cleanliness is therefore required to be maintained.

EUV exposure of photoresist

When an EUV photon is absorbed, photoelectrons and secondary electrons are generated by ionization, much like what happens when X-rays or electron beams are absorbed by matter.[35] It has been estimated that about 4 secondary electrons on average are generated for every EUV photon, although the generation volume is not definite.[36] These secondary electrons have energies of a few to tens of eV and travel tens of nanometers inside photoresist (see below) before initiating the desired chemical reaction. This is very similar to the photoelectron migration for the latent image formation in silver halide photographic films. A contributing factor for this rather large distance is the fact that polymers have significant amounts of free volume.[37] In a recent actual EUV print test,[38] it was found 30 nm spaces could not be resolved, even though the optical resolution and the photoresist composition were not the limiting factor.

Initial distribution of reactive species after EUV absorption. Molecules are excited and ionized within a few nanometers from the absorption point, and electrons are thermalized within 20 nanometers from the absorption point. The inset picture shows the multispur effect, where several electron-ion pairs generated by the EUV photon may interact with one another.

In particular, for photoresists utilizing chemical amplification for higher throughput:[39][40]

e- + acid generator -> anion -> dissociated anion products

This reaction, also known as "electron attachment" or "dissociative electron attachment" is most likely to occur after the electron has essentially slowed to a halt, since it is easiest to capture at that point. The cross-section for electron attachment is inversely proportional to electron energy at high energies, but approaches a maximum limiting value at zero energy.[41] On the other hand, it is already known that the mean free path at the lowest energies (few to several eV or less, where dissociative attachment is significant) is well over 10 nm,[42][43] thus limiting the ability to consistently achieve resolution at this scale. In addition, electrons with energies < 20 eV are capable of desorbing hydrogen and fluorine anions from the resist,[44] leading to potential damage to the EUV optical system.[45]

EUV photoresist images often require resist thicknesses roughly equal to the pitch.[46] This is not only due to EUV absorption causing less light to reach the bottom of the resist but also to forward scattering from the secondary electrons (similar to low-energy electron beam lithography). Conversely, thinner resist transmits a larger fraction of incident light allowing damage to underlying films, yet requires more dosage to achieve the same level of absorption.

Since the photon absorption depth exceeds the electron escape depth, as the released electrons eventually slow down, they dissipate their energy ultimately as heat.

An EUV dose of 1 mJ/cm2 generates an equivalent photoelectron dose of 10.9 μC/cm2. Current demonstration doses exceed 10 mJ/cm2, or equivalently, 109 μC/cm2 photoelectron dose.

The use of higher doses and/or reduced resist thicknesses to produce smaller features only results in increased irradiation of the layer underneath the photoresist. This adds another significant source of photoelectrons and secondary electrons which effectively reduce the image contrast. In addition, there is increased possibility of ionizing radiation damage to the layers below.

The extent of secondary electron and photoelectrons in blurring the resolution is dependent on factors such as dose, surface contamination, temperature, etc.

EUVL defects

EUVL faces specific defect issues analogous to those being encountered by immersion lithography. Whereas the immersion-specific defects are due to unoptimized contact between the water and the photoresist, EUV-related defects are attributed to the inherently ionizing energy of EUV radiation. The first issue is positive charging, due to ejection of photoelectrons[47] freed from the top resist surface by the EUV radiation. This could lead to electrostatic discharge or particle contamination as well as the device damage mentioned above. A second issue is contamination deposition on the resist from ambient or outgassed hydrocarbons, which results from EUV- or electron-driven reactions.[48] A third issue is etching of the resist by oxygen,[49] argon or other ambient gases, which have been dissociated by the EUV radiation or the electrons generated by EUV. Ambient gases in the lithography chamber may be used for purging and contamination reduction. These gases are ionized by EUV radiation, leading to plasma generation in the vicinity of exposed surfaces, resulting in damage to the multilayer optics and inadvertent exposure of the sample.[50]

Buried defect on EUV mask blank. A particle on the substrate distorts the multilayer deposited over it, the distortion becoming wider as more layers are added.

Of course mask defects are also a known source of defects for EUVL. Reducing defects on extreme ultraviolet (EUV) masks is currently one of the most critical issues to be addressed for commercialization of EUV lithography.[51] The defect core, namely the pit or particle, can originate either on the substrate, during multilayer deposition or on top of the multilayer stack. The printability of the final defect will depend on the phase change and the amplitude change of light at a given position. The net phase change and/or amplitude change adds to the intrinsic effect of the core defect and its influence on the growth of the multilayer stack during deposition. The buried defects are particularly insidious, and even 10 nm defects may be considered risky.[52] The phase shift caused by an undetected 3 nm mask substrate flatness variation is sufficient to produce a printable defect. The principle behind this is a quarter-wavelength deviation from the flat surface produces a half-wavelength optical path difference after reflection. The light that is reflected from the flat surface is 180 degrees out of phase with the light reflected from the quarter-wavelength deviation.[53] It has been shown that even a 1 nm deviation from flatness would lead to a substantial reduction (~20%) of the image intensity.[54] In fact, defects of atomic scale height (0.3-0.5 nm) with 100 nm FWHM can still be printable by exhibiting 10% CD impact.[55] Like a lens, any defect which effectively produces a phase shift scatters light outside the defect region. The amount of light that is scattered can be calculated. Furthermore, the edge of a phase defect will further reduce reflectivity by more than 10% if its deviation from flatness exceeds 3 degrees, due to the deviation from the target angle of incidence of 84 degrees with respect to the surface. Even if the defect height is shallow, the edge still deforms the overlying multilayer, producing an extended region where the multilayer is sloped. The more abrupt the deformation, the narrower the defect edge extension, the greater the loss in reflectivity.

Unexpected resolution limits

Given that EUV is a significant reduction in wavelength compared to current lithography wavelengths, one would expect significantly better resolution. However, the resolution is ultimately determined by the interaction volume in the image recording medium, i.e., the photoresist. As noted above, the low energy electrons released by EUV could blur the original EUV image. In addition, there are statistical effects, especially for feature areas less than 1500 square nanometers.

Resist Polymer Aggregates

Resists as polymers are well-known to have aggregates with sizes up to 80 nm.[56] Even the high-resolution resist HSQ has aggregate size reduced down to only 15–20 nm.[57] While the roughness of lines larger than the aggregate size are mildly affected by the aggregate size, below the aggregate size obviously the linewidth can be severely affected.

Shot noise

A dose sensitivity of 5 mJ/cm2 implies only several thousand EUV photons or so accumulate in such a small area. With the natural Poisson distribution due to the random arrival times of the photons, there is an expected natural dose variation of at least a few percent 3 sigma, making the exposure process fundamentally uncontrollable for features less than about 40 nm. Increasing the dose will reduce the shot noise, but will also increase the flare dose and generate more free electrons. The free electrons will spread out before slowing to a stop. Since the free electron density is lower than the initial photon density, the shot noise is always effectively larger than expected from just considering the EUV dose.

Intel recently[58] calculated that for printing one billion 30 nm contacts, ± 16% dose error (@10 mJ/cm2) is expected from the EUV shot noise. With acid counting, the fluctuation increases to ± 20%. This issue will affect 22 nm patterning integration. When one considers that within a 1 nm pixel, the shot noise is even more significant (>100% on 10 nm scale @10 mJ/cm2), the origin of the line edge roughness (LER) issue in EUV lithography becomes clearer.

The 2D patterns often encountered in DRAM and logic microprocessors (including multiple pattern cutting for 11 nm node)[59] as well as the floating gate flash memory patterns with 2D isolation for charge trapping are more susceptible to shot noise than line-type features. It is because the 2D pattern (ideally rectangular) is defined by the number of photons in a limited area exposed above or below a certain threshold dose.

feature diameter (nm) minimum dose to avoid 5% dose error among 1 million features (mJ/cm2) targeted dose (mJ/cm2) throughput at targeted dose (300 mm WPH)
40 12 5 -
28 24 10 6-60
20 47 15 50-125
14 96 20 125
10 187 20 165

A 5% dose error has been found to result in ~1 nm CD error.[60] Although the minimum dose to avoid 5% dose error within a population of a million contacts is doubling every generation, the industry targeted dose is not keeping up. To at least make up the minimum dose, the throughput will be reduced by the same ratio. 1 ppm of a population is about 5 standard deviations away from the mean dose. For reference, Nvidia reported in 2011[61] that via defect levels need to be less than one in a billion, so that the minimum dose estimates above would need to be even tighter.

Ref.: SPIE Proc. 8326-96, 8683-36, 8679-50 (2013)


The partially coherent light source is often represented as a collection of hundreds to thousands of points, each an independent source of photons.[62][63][64][65] Furthermore, the asymmetric variation of the multilayer reflectivity with respect to different angles of incidence results in source points on one side being effectively brighter than those on the other.[66] A million photons, e.g., 100 source points x 10,000 photons/point, at a dose of 10 photons/nm2, would cover a 100,000 nm2 area (~300 nm x 300 nm), far exceeding the theoretical resolution.

The shot noise has strong bearing on the EUV source power issue mentioned above. For 10 mJ/cm2, the power at intermediate focus should be 180 W; currently it is about 20 W at high duty cycle.[67] However, significant shot noise may force minimum doses to be at least 47 mJ/cm2 for 20 nm feature size (e.g., 20 nm cuts in 20 nm half-pitch lines) and 187 mJ/cm2 for 10 nm feature size (e.g., 10 nm contacts on 14 nm half-pitch lines), therefore indicating the EUV source power to be a moving target becoming ever more difficult to reach.[68] Furthermore, if the doses increase by at least a factor of ~3, the crosslinking of the resist polymer becomes significant.[69][70][71] As discussed below, due to high absorption, heating is more significant. For chemically amplified resists, higher dose exposure also increases line edge roughness due to acid generator decomposition.[72] There could be some shot noise relief for the brighfield exposures which would be used for contact hole patterns[73] with negative-tone metal oxide resists;[74] flare has more severe impact (loss of image contrast) in brightfield exposures with higher doses.[75] Soft x-ray exposures of HSQ resist have shown 50-70 nm linewidth increase related to increased reactions beyond exposure boundaries, due to dose increase in the 100 mJ/cm2 range.[76]

The shot noise issue is also applicable to the features patterned on masks used for EUV, targeted at 20 nm and below.[77] A 12 uC/cm2 absorbed dose used to pattern 80 nm contact holes on a mask (to print 20 nm on wafer) inevitably experiences 10% shot noise in the dose level over the population of a billion such contact holes.

Carl Zeiss, the maker of the EUV Aerial Image Metrology System (AIMS), recently concluded that 15,000 photons per 18 nm pixel (corresponding to a dose of 68 mJ/cm2) were required to guarantee sufficient CD fidelity.[78]

Proximity effect (secondary electrons)

It is now recognized that for insulating materials like PMMA, low energy electrons can travel quite far (several nanometres is possible). For example, in sub-10 nm thick SiO2, negligible electron scattering is expected.[79] This is due to the fact that below the ionization potential the only energy loss mechanism is mainly through phonons and polarons.[80] It should be noted that polaronic effects are manifest more strongly in ionic crystals than polymers and covalently bonded materials.[81] In fact, polaron hopping could extend as far as 20 nm.[82]

Adding a worst case of ~20 nm broadening[83] to an expected EUV tool resolution of ~10 nm, we expect the practical effective resolution to be at best ~30 nm, which is comparable to state-of-the-art immersion lithography with current double patterning lithography. Allowing 20 nm electron range to be only 10% of the critical dimension as variability, automatically challenges sub-200 nm lithography.

Material 10 eV electron inelastic mean free path*[84][85]
Water 10  nm
DNA 5  nm
PMMA 5  nm
SiO2 7  nm

(*) On average, an electron with 10 eV energy travels this distance in the material before losing energy.

Material <3 eV electron attenuation length[86]
Pentacene 7.5 ± 1.0  nm
Perylene 80 ± 8.0  nm

In a classic experiment by Feder et al. at IBM,[87] an erbium layer on a PMMA resist layer was exposed to X-rays. The erbium layer absorbed the X-rays strongly, producing low energy secondary electrons. The X-rays which were not absorbed continued to penetrate into the PMMA, where they were only lightly absorbed. Upon removal of the erbium layer and subsequent PMMA development in solvent, the resist removal rate was found to be accelerated for the top 40 nm of the PMMA film, while it was much more gradual for the rest of the film. The accelerated rate was due to the secondary electron exposure, while the gradual rate was due to the X-ray absorption. This proved the maximum secondary electron exposure range of 40 nm in this case.

K. Murata also calculated the impact of 92 eV Auger electrons emitted into a layer of PMMA from a Si substrate during X-ray exposure. The range of exposure of the PMMA was 50  nm.[88]

A more recent experiment was performed by Carter et al. at MIT and University of Wisconsin–Madison,[89] where the X-ray absorber generating the electrons was beneath the PMMA resist rather than on top of it. In this case, the accelerated dissolution of PMMA started approximately 50 nm above the substrate.

The significance of this secondary electron range is the appearance of a "proximity effect" for distances on the order of 50 nm or less.[90][91] This causes the exposure tolerance to be reduced dramatically as feature sizes decrease below this range. Even though features can still print below this range, the resolution is affected by the randomness of energy distribution. The difference in experimentally determined ranges above (40 nm vs. 50 nm) is an indication of this fundamental variability. The secondary electron exposure can also be thought of as a blur effect. The blur is generally not included in optical-only image simulations.

The proximity effect is also manifest by photoelectrons and secondary electrons leaving the top surface of the resist and then returning some tens of nanometers distance away.[92] This also can be understood in terms of the emitted electrons forming a space charge cloud above the surface which is attracted to the positively charged surface in the vertical direction but laterally disperses (in vacuum) due to the negative charge mutual repulsion.

The secondary electron proximity effect was recently demonstrated by Stanford University[93] using a scanning probe tip that emitted electrons in the 40–60 eV energy range. Dose sensitivity was demonstrated more than 25 nm away from the exposure center. It indicates that within a 50 nm range of exposure widths, the low-energy (EUV-generated) electron distribution influences the linewidth distribution. This is a new effect not seen with conventional optical lithography.

Photoelectron emission microscopy (PEEM) data was used to show that low energy electrons ~1.35 eV could travel as far as ~15 nm in SiO2, despite an average measured attenuation length of 1.18 nm.[94]

Photoelectron trajectories

Radial energy distribution from EUV photoelectrons. For photons incident at r=0, the radial range can be as large as 30 nm, but the highest amount of energy is deposited within a few nm distance. By adding up the radial energy distributions from many photons incident at different locations within a target area, the amount of deposited energy accumulates, enabling effects at further distances from the target area.

Kotera et al. performed EUV photoelectron trajectory simulations, showing their range to be 30 nm.[95][96] The spread of the energy deposition by these electrons can account for the observed line edge roughness. The top layer exposure is effectively less because electrons emitted from the surface never come back.

Efficient photoresist heating

Ritucci et al., reported on the improved thermal ablation efficiency for EUV wavelengths compared to DUV wavelengths.[97] Since EUV exceeds the bandgap of all materials, it is more easily absorbed than longer wavelengths, and the same dose of incident energy results in more heating; even ~100 mJ/cm2 would be hot enough to result in ablation. The resolution of chemically amplified photoresists is determined by thermally driven acid diffusion (spreading). It is worth noting that even at the ablation dose of 100 mJ/cm2, the shot noise for a 1 nm pixel is still significant (3σ/avg = 36%), which could severely impact a critical dimension (CD) for which the pixel is at least 5%, i.e., 20 nm or less.

Point spread function of resist

Kozawa et al. determined the point spread function of EUV chemically amplified resists using a basic acid generation calculation and simulation fit. The range of acid generation extended ~20 nm from the absorption point, entailing a ~40 nm resolution limit.[98]

Given that photoresists easily diffuse acid molecules, it would be no surprise that the smaller and lighter electrons produced by EUV or other ionizing radiation would diffuse faster and further, rendering the expected optical resolution meaningless.

The resist blur based on print results at the end of 2008 is in the range of 10-16 nm.[99] Half-pitch resolution is still a struggle below 30 nm, and line edge roughness is still a major issue.

A study in 2011 focusing on 22 nm and 24 nm half-pitch indicated a temperature-dependent blur for the post-exposure bake process, ranging from ~5 nm at 80 °C to ~10 nm at ~110 °C. The secondary electron blur was reported to be not observed in this range.[100] The aerial images were corrected for the estimated flare (which would include any long-range secondary electron blur).

Uncertainty principle

As secondary electron generation involves inelastic scattering with momentum transfer, there will be an associated position uncertainty. As lower energy electrons have less momentum transfer, the delocalization of the secondary electron generation process tends to be higher (~nm),[101] which would have a more direct impact on LER.

EUVL demonstrations

EUVL tool, Lawrence Livermore National Laboratory.

Interference lithography at the Paul Scherrer Institute[102] has been used to demonstrate sub-10 nm line-space features at.[103][104] The resist performance tested with this source does not reflect the performance expected for an EUV projection tool due to the limited contrast of projection tools.

In 1996, a collaboration between Sandia National Laboratories, University of California at Berkeley, and Lucent Technologies, produced NMOS transistors with gate lengths from 75 nm to 180 nm. The gate lengths were defined by EUV lithography.[105] The device saturation current at 130 nm gate length was ~0.2 mA/um. A 100 nm gate device showed subthreshold swing of 90 mV/decade and saturated transconductance of 250 mS/mm. A commercial NMOS at the same design rule patterned by then-state-of-the-art DUV lithography[106] showed 0.94 mA/um saturation current and 860 mS/mm saturated transconductance. The subthreshold swing in this case was less than 90 mV/decade.

In February 2008, a collaboration including IBM and AMD, based at the College of Nanoscale Science and Engineering (CNSE) in Albany, New York, used EUV lithography to pattern 90 nm trenches in the first metal layer of a 45 nm node test chip.[107] No specific details on device performance were given.[108] However, the lithographic performance details given still indicated much to be desired:[109]

  • CD uniformity: 6.6%
  • Overlay: 17.9 nm x, 15.6 nm y, possibly correctable to 6.7 nm x, 5.9 nm y
  • Power: 1 W at wafer (>200 W required for high volume), with a dose of 3.75 mJ/cm2
  • Defects: 1/sq. cm.

The high defect level may not be unexpected as AMD's 45 nm node Metal 1 design rule was 90 nm while the same EUV exposure theoretically could result in printed defects below 30 nm originating from mask defects larger than 100 nm. Optical lithography pushed beyond its natural resolution limit has a significant advantage in this regard.

Apparently, the CNSE EUV tool suffered from a well-known 16% flare problem.[110] Flare effects may be difficult to separate from the secondary electron effects discussed earlier.

Also in July 2008, IMEC printed ~60 nm contacts using their installed EUV tool.[111] Doses of 12–18 mJ/cm2 were used.

In August 2008, SEMATECH demonstrated a 22 nm half-pitch using chemically-amplified photoresist. However, even at 15 mJ/cm2, the linewidth roughness was very significant, 5–6 nm, so that even the image pitch regularity was challenged.[112]

In April 2009, IMEC fabricated 22 nm SRAM cells where the contact and Metal 1 layers (~45 nm design rule) were printed with EUV lithography.[113] However, it was acknowledged that EUV would not be ready when companies start using 22 nm. In addition, it was commented that the feature edge profiles indicated slope asymmetry related to the characteristic EUV illumination asymmetry. Whereas this demonstration only focused on a limited number of ~45 nm features, Intel's shot noise calculation above for billions of features ~30 nm indicates difficult challenges ahead for manufacturing.

In late 2009, KLA-Tencor and GlobalFoundries along with Lawrence Berkeley National Labs published a paper[114] which showed the stochastic behavior of EUV-generated secondary electrons in EUV resists. In particular, 32 nm half-pitch trenches showed significant edge roughness, width roughness and critical dimension (CD) variability.[115] It may also explain the ~ 15 nm resist blur observed in an earlier study.[116]

Multilayer effect on pitch resolution. As the pitch decreases, the diffraction angle of the first order increases, leading to less pattern information in the EUV light reflected by the mask multilayer to be collected by the optics. This leads to an additional source of resolution loss.12

Timing impact

As early as 2003, there was still optimism that EUVL would quickly be commercialized, as exemplified by the following quote: "Good progress has been made on all the technology fronts. Commercialization in 2009 remains the main goal for all the EUV community."[117] However, as of 2012, EUVL still has not been commercialized.

The difficulties of EUV stem mainly from the difficulty of EUV light generation and the sensitivity of EUV light to surface contamination and roughness. The rise of multiple patterning, essentially a wavelength-independent technique, is also further jeopardizing its introduction. Intel's Justin Rattner has said that creation of the needed (longer wavelength) masks was a prime use of high performance computing.[118]

2009

In July 2009, ASML Holding and Cymer Inc. announced the shipment of the first fully integrated laser-produced plasma (LPP) extreme ultraviolet (EUV) lithography light source from Cymer to ASML, where it is supporting integration and testing of next generation EUV lithography scanners.[119][120]

In July 2009, a review of the EUV infrastructure indicated that there were significant gaps remaining, in particular for mask defect inspection.[121] The gaps may not be filled until 2013 or later, which would impact the rollout of EUV for high-volume manufacturing by 2015.

It was most recently indicated[122] that EUV mask features ~100 nm half-pitch (on the mask itself) were at the current resolution limit of EUV mask generation. Since these features would be demagnified 4X for upcoming 300 mm tools, it would indicate the mask itself would not be able to conventionally print good images at ~25 nm half-pitch or below. The same study reiterated that resolution was not limited by the optics but by the resist response, which prevented sub-20 nm half-pitch even with mask resolution enhancement. This resolution limit on the mask itself could be tied to the shot noise issue pointed out above which is involved in the fabrication of the mask.

2010

In June 2010, TSMC announced it would take delivery of an EUV system for R&D purposes, to be delivered in 2011.[123] The tool's weight reportedly warranted purchasing a special clamp costing more than 2 million USD.[124]

In July 2010, at Semicon West 2010, ASML updated the resolution achieved by EUVL, the status being 24 nm in 2010, but with a severely degraded NILS (normalized image log-slope) below 1.0,[125] indicating poor lithographic quality.[126][127] In fact, as finer resolution is projected, the NILS is expected to go to 0, indicating impossibility of EUVL use.

In 2010, Samsung cited various additional processes still needed to be developed to address line-width roughness (LWR), arising from the shot noise discussed earlier, which would drive up costs.[128] In addition, it cited out-of-band wavelengths in the DUV range as causing flare effects; this was also observed by others.[129][130]

At SPIE 2010, researchers from the Center for X-ray Optics at Lawrence Berkeley National Laboratory and the University of California, Berkeley presented results showing that replicated surface roughness (RSR) from the substrate to the multilayer surface as low as 50 pm could induce phase errors that are imaged as speckle with defocus, which would lead to line-edge roughness (LER) that could not be tolerated for the 22 nm and 16 nm nodes.[131] This spec is much smaller than current capabilities. For reference, the Bohr radius is 53 pm and the rms surface roughness of silicon wafers is on the order of 100 pm.[132]

ASML EUV tools in use or in development

Available Year EUV Tool Resolution Throughput goal Dose, Source
2006 ADT 32 nm 4 WPH 5 mJ/cm2, ~8W
2010 NXE:3100 27 nm 60 WPH 10 mJ/cm2, >100W
2012 NXE:3300B 22 nm 125 WPH 15 mJ/cm2, >250W
2013 NXE:3300C 16 nm if <7 nm resist diffusion length 150 WPH 15 mJ/cm2, >350W

Source: ASML, International Workshop on EUVL, Maui 2010

NXE:3300B stepper uses 13.5 nm EUV light generated by very hot tin. High-energy laser turns microscopic droplets of molten tin into a plasma which emits EUV light.

Timing for 1x nm and beyond

Polarization dependence of multilayer reflectivity. The s and p polarizations are reflected differently by the multilayers at larger angles.

Hynix has found that 193 nm immersion lithography with double patterning could resolve down to ~ 20 nm, while the more cost-conscious EUV approach could only resolve down to 28 nm, less than a generation's improvement over 193 nm immersion lithography single patterning's resolution limit of 38 nm.[133]

Due to its current resolution limitations and lack of readiness, EUVL has recently been supplanted by multiple patterning using existing immersion lithography tools as the lithography of choice for current 20-nm class NAND Flash technology generations and beyond.[134] Samsung[135] and IM Flash Technologies[136] have already started using spacer double patterning for their 3X nm and 2X nm NAND Flash. Toshiba and SanDisk are already starting to make 19 nm NAND flash in 2011.[137] In fact, with EUV single exposure resolution still exceeding 22 nm,[138] multiple patterning would be necessary for ~10-14 nm regardless of using EUV or ArF wavelength.[139] At IEDM 2011, Hynix is expected to report on 1X nm NAND technology using quadruple spacer patterning.[140]

In September 2009, Intel disclosed that for its 15 nm process, EUV did not appear to be ready in timely fashion.[141] Hence, Intel is preparing to extend 193 nm immersion lithography with double and possibly triple patterning to 15 nm.[142] Currently, it is also exploring the feasibility of EUV for its 11 nm logic node;[143] however, 2D contact patterning still appears only good to ~ 26 nm half-pitch, while a special rinse for improving line width roughness appears very pitch-dependent. Intel has declared that even without EUV, it could still use 193 nm immersion lithography at the 11 nm node.[144]

As of 2010, it has been observed that EUV would be delayed until 2015[145] to allow the development of the required EUV inspection tools. On the other hand, manufacturer roadmaps show 2012–2013 as the timing needed for 1x nm, precluding the use of EUV.[146] Consideration of long-term implementation of EUVL will now need to consider sub-10 nm design rules. However, at this point, due to larger electric field vector angles, polarization effects become important.[147] The effective flare[148] for 10 nm half-pitch lines-spaces due to polarization effects is 11%. The difficulty of EUV is the lack of polarization control (no laser sources, only plasmas).

Polarization effects. As pitch is reduced, the image is more affected by polarization, resulting in reduced contrast in the unpolarized image. At 14 nm hp, for example, the minimum intensity is already ~6% of the median value.

ASML has indicated that below 16 nm[149] capability would require a larger illumination angle than the current 6°,[150] and hence require a fundamental change to the current EUV multilayer optics infrastructure. In particular, apodization (non-uniformity of intensity across the light entrance pupil), due to different reflectivity at different angles, becomes more severe for higher numerical apertures.[151]

Canon indicated at the 1st International EUVL Symposium that higher NA EUV systems would exhibit a larger difference of system transmittance between the two different polarizations, due to the larger range of angles being accessed.[152]

In fact, for EUV mask pitches of 8 wavelengths or less (demagnified 4x to 2 wavelengths (13-14 nm half-pitch) or less on the wafer), diffraction into the multilayer at larger angles is another source of significant image degradation, which requires intensive computation to evaluate.[153] For larger angles, the multilayer reflectance decreases significantly.[154]

Apodization by EUV multilayer The angle-dependent reflectivity of the EUV multilayer results in non-uniform intensity across the pupil. Imaging can be significantly affected for differences of 10% or more.[155]

Another issue is that for sub-10 nm applications, the electron beam lithography for EUV mask patterning, already burdened by throughput issues, will have practical resist difficulties for meeting the resolution requirement.[156] In fact, for 20 nm and below, the current electron-beam mask writers cannot repeatably deliver 80 nm sizes on the mask, which corresponds to 20 nm on the wafer.[157]

Recent EUV zone plate lithography reports have indicated that for sub-20 nm half-pitch, even next-generation lithography would require double patterning; in fact, double patterning may not be sufficient even for sub-12 nm half-pitch.[158][159] As a result, the overlay and throughput requirements would need to be at least twice as stringent as before.[160]

At the 2011 LithoVision conference, Intel indicated that EUV technology is already late for even Intel's 10 nm design rule planning.[161]

ASML has suggested that the 13.5 nm EUV wavelength is expected to be used down to 10 nm, beyond which a new EUV wavelength of 6.6 to 6.8 nm is expected to be used for finer resolution.[162] Tools currently projected thru 2015 are not expected to reach 15 nm resolution.

Cymer delayed its EUV 20 W source delivery from first quarter to second quarter of 2012.[163]

Samsung also delayed its EUV to after 2013.[164] Likewise, GlobalFoundries and TSMC are delaying EUV use to beyond 20 nm node.[165]

As of beginning of 2012, EUV has significant issues remaining in the areas of source power, defects, overlay, resist, and mask.[166]

As of July 2012, 6 NXE:3100 tools (now discontinued)[167] and 11 NXE:3300 tools have been ordered for process development and 4 NXE:3300 tools targeted for production;[168] the latter order was split between Samsung and SKHynix.[169]

Resource requirements: EUV vs. ArF immersion double patterning

Utility 200 W output EUV 90 W output ArF immersion double patterning
Electrical power (kW) 532 49
Cooling water flow (L/min) 1600 75
Gas lines 6 3

Source: Gigaphoton, Sematech Symposium Japan, September 15, 2010

The required utility resources are significantly larger for EUV compared to 193 nm immersion, even with double patterning. Hynix reported at the 2009 EUV Symposium that the wall plug efficiency was ~0.02% for EUV, i.e., to get 200 W at intermediate focus for 100 WPH, one would require 1MW of input power, compared to 165 kW for an ArF immersion scanner, and that even at the same throughput, the footprint of the EUV scanner was ~3x the footprint of an ArF immersion scanner, resulting in productivity loss.[170] Additionally, to confine ion debris, a superconducting magnet may be required.[171]

References

  1. ^ webelements.com
  2. ^ Tao, Y.; et al. (2005). "Characterization of density profile of laser-produced Sn plasma for 13.5 nm extreme ultraviolet source". Appl. Phys. Lett. 86 (20): 201501. doi:10.1063/1.1931825. {{cite journal}}: Explicit use of et al. in: |last2= (help)
  3. ^ Coons, R. W.; et al. (2010). "Comparison of EUV spectral and ion emission features from laser-produced Sn and Li plasmas". Proc. SPIE. 7636: 763636. doi:10.1117/12.848318. {{cite journal}}: Explicit use of et al. in: |last2= (help)
  4. ^ Paetzel, R.; et al. (2003). "Excimer lasers for superhigh NA 193-nm lithography". Proc. SPIE. 5040: 1665. doi:10.1117/12.485344. {{cite journal}}: Explicit use of et al. in: |last2= (help)
  5. ^ Harilal, S. S.; et al. (2006). "Spectral control of emissions from tin doped targets for extreme ultraviolet lithography". J. Phys. D. 39 (3): 484. doi:10.1088/0022-3727/39/3/010. {{cite journal}}: Explicit use of et al. in: |last2= (help)
  6. ^ Trintchouk, F.; et al. (2006). "XLA-300: the fourth-generation ArF MOPA light source for immersion lithography". Proc. SPIE. 6154: 615423. doi:10.1117/12.658723. {{cite journal}}: Explicit use of et al. in: |last2= (help)
  7. ^ V. Bakshi, 2009 EUVL Workshop Summary, Sheraton Waikiki, Hawaii, July 13–17, 2009.
  8. ^ Cymer EUV light source
  9. ^ Cymer presentation at 2007 EUV Source Workshop
  10. ^ Saleh, B. E. A.; Teich, M. C. (1991). "Fundamentals of Photonics". New York: John Wiley & Sons: 521. ISBN 0471839655. {{cite journal}}: Cite journal requires |journal= (help)
  11. ^ IEEE Spectrum: A New Light Source
  12. ^ Chen, F. T. (2003). "Asymmetry and thickness effects in reflective EUV masks". Proc. SPIE. 5037: 347. doi:10.1117/12.483602.
  13. ^ ASML update on ADT
  14. ^ Gullikson, E. M.; et al. (1996). "Stable silicon photodiodes for absolute intensity measurements in the VUV and soft X-ray regions" (PDF). J. Electron Spec. and Rel. Phenom. 80: 313–316. doi:10.1016/0368-2048(96)02983-0. {{cite journal}}: Explicit use of et al. in: |last2= (help)
  15. ^ Keister, J. W. (2007). "Silicon Photodiodes for Absolute Soft X-ray Radiometry" (PDF). Proc. SPIE. 6689: 26. doi:10.1117/12.741601.
  16. ^ Berger, K. W.; Campiotti, R. H. (2000). "Absolute dosimetry for extreme-ultraviolet lithography". Proc. SPIE. 3998: 838. doi:10.1117/12.386448.
  17. ^ Donati, S. (2000). Photodetectors: Devices, Circuits and Applications. Upper Saddle River, NJ: Prentice-Hall PTR. p. 182. ISBN 0130203378.
  18. ^ Robert W. Hamm and Marianne E. Hamm, "The Beam Business: Accelerators in Industry", Physics Today, June 2011, pp. 49-50
  19. ^ H. Komori et al., Proc. SPIE 5374, pp. 839–846 (2004).
  20. ^ B. A. M. Hansson et al., Proc. SPIE 4688, pp. 102–109 (2002).
  21. ^ S. N. Srivastava et al., J. Appl. Phys.' 102, 023301 (2007).
  22. ^ A. Brunton et al., Proc. SPIE 5448, pp. 681-692 (2004).
  23. ^ L. Peters, "Double Patterning Leads Race for 32 nm", Semiconductor International, October 18, 2007.
  24. ^ M. Sugawara et al., J. Vac. Sci. Tech. B 21, 2701 (2003).
  25. ^ M. Chandhok et al., J. Vac. Sci. Tech B 22, 2966 (2004).
  26. ^ S. Jeong et al., Proc. SPIE 3997, 431 (2000).
  27. ^ N. S. Faradzhev et al., Bull. of the Russ. Acad. of Sci., Physics, vol. 74, pp. 28–32 (2010).
  28. ^ F. Barkusky et al., Optics Express 18, 4346 (2010).
  29. ^ J. V. Hermans et al., Proc. SPIE 7969, 79691M (2011).
  30. ^ D. Tretheway and E. S. Aydil, J. Electrochem. Soc., vol. 143, 3674 (1996).
  31. ^ M. S. Bakir et al., CICC 2007, 421 (2007).
  32. ^ J. A. van der Pol et al., Microelectronics Rel., 39, 863 (1999).
  33. ^ J. Mathuni et al., Wafer Backside Paper
  34. ^ Brewer Science LED Brochure featuring Substrate Protection
  35. ^ B. L. Henke et al., J. Appl. Phys. 48, pp. 1852–1866 (1977).
  36. ^ SPIE EUV08 paper by T. Kozawa and S. Tagawa
  37. ^ N. Shimizu and H. Sato, 1996 IEEE Annual Report - Conference on Electrical Insulation and Dielectric Phenomena, pp. 787–790 (1996)
  38. ^ Y. Ekinci et al., Microelectronic Engineering, vol. 84, pp. 700–704 (2007). Conference draft.
  39. ^ T. Kozawa et al., J. Vac. Sci. Tech. B 15, pp. 2582–2586 (1997).
  40. ^ T. Kozawa et al., J. Vac. Sci. Tech. B 22, pp. 3489-3492 (2004).
  41. ^ E. Stoffels et al., Plasma Sources Sci. & Tech. 10, 311-317 (2001).
  42. ^ M. P. Seah and W. A. Dench, Surf. Interf. Anal. 1, 2-11 (1979).
  43. ^ S. Tanuma et al., Surf. Interf. Anal. 21, 165–176 (1993).
  44. ^ B. Yakshinskiy et al., Intl. Symp. on EUVL 2009
  45. ^ C. Song et al., Chem. Mater. 20, 3473–3479 (2008).
  46. ^ H. H. Solak et al., Microel. Eng. 67–68, pp. 56–62 (2003).
  47. ^ N. Koch et al., Thin Solid Films 391, pp. 81–87 (2001).
  48. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 118–130 (2006).
  49. ^ J. Hollenshead and L. Klebanoff, J. Vac. Sci. & Tech. B 24, pp. 64–82 (2006).
  50. ^ M. H. L. van der Velden et al., J. Appl. Phys. 100, 073303 (2006).
  51. ^ http://spie.org/x48080.xml?pf=true&ArticleID=x48080
  52. ^ M. Lam, Ph.D. dissertation, U. of California, Berkeley, sec. 7.3 (2005).
  53. ^ P. P. Naulleau et al., Optics Communications 200, pp. 27–34 (2001).
  54. ^ I.-Y. Kang et al., Jap. J. Appl. Phys. vol. 44, pp. 5724–5726 (2005).
  55. ^ S. Huh et al., Proc. SPIE 7271 (2009).
  56. ^ C. A. Cutler et al., Proc. SPIE vol. 5037, 406 (2003).
  57. ^ D. Lauvernier et al., Microelectonic Eng. 75, 177–182 (2004).
  58. ^ EUV resist TWG 2008
  59. ^ Intel extending ArF lithography to 11 nm node
  60. ^ T. Wallow et al., Proc. SPIE vol. 8322, 83221J (2012).
  61. ^ J. Chen, 2011 IMEC Technology Forum
  62. ^ L. Szu-Kai and C. C. P. Chen, Proc. SPIE vol. 7274, 727436 (2009).
  63. ^ H. Kirchauer PhD Thesis (1998).
  64. ^ K. Tian et al., Proc. SPIE vol. 7274, 72740C (2009).
  65. ^ M. Bass (ed.), Handbook of Optics, 2.20, McGraw-Hill, 2010.
  66. ^ V. Domnenko et al., Proc. SPIE 7271, 727141 (2009).
  67. ^ D. C. Brandt et al., Proc. SPIE vol. 7271, 727103 (2009).
  68. ^ F. T. Chen et al., Proc. SPIE 8326, 8326L (2012).
  69. ^ S. M. Tamboli et al., Ind. J. Chem. Tech., vol. 11, 853 (2004).
  70. ^ J. N. Helbert et al., Macromolecules, vol. 11, 1104 (1978).
  71. ^ J. P. Cain et al., Proc. SPIE 5751, 301 (2005).
  72. ^ T. Kozawa, Jap. J. Appl. Phys. 51, 06FC01 (2012).
  73. ^ R. Gronheid et al., Proc. SPIE 8322, 83220M (2012).
  74. ^ J. K. Stowers et al., Proc. SPIE 7969, 796915 (2011).
  75. ^ Y. Tanaka et al., Proc. SPIE 6921, 69211D (2008).
  76. ^ A. G. Caster et al., J. Vac. Sci. Tech. B 28, 1304 (2010).
  77. ^ GlobalFoundries plans EUV by 2015
  78. ^ H. Feldmann et al., Proc. SPIE 7636, 76361C (2010).
  79. ^ S. Lombardo et al., J. Appl. Phys., 84, 472 (1998).
  80. ^ M. Dapor et al., J. Micro/Nanolith. MEMS MOEMS 9, 023001 (2010).
  81. ^ C. Kittel, Introduction to Solid State Physics, 6th ed. (John Wiley & Sons, 1986), pp. 281-3.
  82. ^ P. T. Henderson et al., Proc. Natl. Acad. Sci. USA 96, 8353-8358 (1999).
  83. ^ K. W. Lee et al., J. Kor. Phys. Soc. 55, 1720 (2009).
  84. ^ D. Emfietzoglou et al., Nucl. Instr. & Meth. in Phys. Res. B 267, 45–52 (2009).
  85. ^ H.-J. Fitting et al., J. Elec. Spec. & Rel. Phenom. 119, 35–47 (2001).
  86. ^ S. Hino, N. Sato, H. Inokuchi, Chem. Phys. Lett. vol. 37, 494 (1976).
  87. ^ R. Feder et al., J. Vac. Sci. Tech. 12, 1332 (1975).
  88. ^ K. Murata, J. Appl. Phys. 57, 575 (1985).
  89. ^ D. J. D. Carter et al., J. Vac. Sci. & Tech. B 15, pp. 2509–2513 (1997).
  90. ^ K. Yamazaki et al., Jap. J. Appl. Phys. 36, 7552-7556 (1997).
  91. ^ V. V. Ivin et al., Micr. Eng. 61-62, 343-349 (2002).
  92. ^ R. Renoud et al., J. Phys. Cond. Matt. 10, 5821-5832 (1998).
  93. ^ K. Wilder et al., J. Vac. Sci. Tech. B 16, 3864 (1998).
  94. ^ V. W. Ballarotto et al., JVST B 20, 2514-2518 (2002).
  95. ^ M. Kotera et al., Microprocesses and Nanotechnology, 2007 Digest of Papers, pp. 94–95 (2007).
  96. ^ M. Kotera et al., Jap. J. Appl. Phys. vol. 47, pp. 4944–4949 (2008).
  97. ^ A. Ritucci et al., "Damage and ablation of large band gap dielectrics induced by a 46.9 nm laser beam," March 9, 2006 report UCRL-JRNL-219656 (Lawrence Livermore National Laboratory).
  98. ^ T. Kozawa et al., Appl. Phys. Exp. 1, 027001 (2008).
  99. ^ T. Watanabe and H. Kinoshita, J. Photopolymer Sci. and Tech., vol. 21, 777-784 (2008).
  100. ^ R. Gronheid et al., J. Micro/Nanolith. MEMS MOEMS 10, 033004 (2011).
  101. ^ J. Drucker and M. R. Scheinfein, Phys. Rev. B vol. 47, 15973-15975 (1993).
  102. ^ EUV-IL at PSI
  103. ^ XIL beamline at PSI
  104. ^ V. Auzelyte et al., J. Micro/Nanolith. MEMS MOEMS 8, 021204 (2009).
  105. ^ K. B. Nguyen et al., J. Vac. Sci. Tech. B 14, 4188 (1996).
  106. ^ S. Yang et al., IEDM '98 Technical Digest, pp. 197-200 (1998).
  107. ^ B. La Fontaine et al., Proc. SPIE 6921, 69210P (2008).
  108. ^ AMD uses EUV to pattern metal layer in 45 nm test chip
  109. ^ B. Haavind and J. Montgomery, "SPIE: AMD, IBM tip first "full-field" EUV chip," Solid State Technology, Feb. 27, 2008
  110. ^ O. R. Wood II et al., Proc. SPIE 6517, 65170U (2007).
  111. ^ IMEC report on EUV printing of contacts
  112. ^ Semiconductor International: Sematech EUV Resist at 22 nm Half-Pitch
  113. ^ IMEC Makes 22 nm SRAM Cells With EUV Lithography
  114. ^ J. J. Biafore et al., SPIE Lithography Asia 2009, Proc. SPIE 7520, 75201P (2009).
  115. ^ KLA-Tencor brings stochastic modeling to EUV
  116. ^ T. I. Wallow et al., Proc. SPIE 7273, 72733T (2009).
  117. ^ EUVA: 2nd EUVL Symposium at Antwerp, Belgium (2003)
  118. ^ "Intel's extreme ultraviolet dream still somewhere over the rainbow."
  119. ^ High-Power EUV lithography lightsources come of age,http://www.electroiq.com/index/display/semiconductors-article-display/368295/articles/solid-state-technology/volume-52/issue-9/features/cover-article/high-power-euv-lithography-lightsources-come-of-age.html
  120. ^ Taking Semiconductor Manufacturing to the extreme, http://www.photonics.com/Article.aspx?AID=40103
  121. ^ SEMICON West - Lithography Challenges and Solutions
  122. ^ P. Naulleau et al., Pushing EUV lithography development beyond 22-nm half pitch, LBNL Paper LBNL-2288E (2010).
  123. ^ TSMC to take delivery of EUV lithography system in 2011
  124. ^ TSMC facing EUV, Wafer Cost Challenges.
  125. ^ H. Meiling, "EUVL - getting ready for volume introduction," Semicon West, July 14, 2010.
  126. ^ O. Wood and B. LaFontaine, Source Power Requirement for HVM.
  127. ^ U. S. Patent 6977715.
  128. ^ H-W Kim et al., Proc. SPIE vol. 7636, 76360Q (2010).
  129. ^ S. A. George et al., Proc. SPIE vol. 7636, 763626 (2010).
  130. ^ H. Mizuno et al., Proc. SPIE vol. 7271, 72710U (2009).
  131. ^ P. P. Naulleau et al., Proc. SPIE 7636, 76362H (2010).
  132. ^ L. W. Shive and B. L. Gilmore, ECS Trans. vol. 16, 401-405 (2008).
  133. ^ T-S. Eom et al., Proc. SPIE 7271, 727115 (2009).
  134. ^ EETimes article on NAND Flash scaling 3/22/2010.
  135. ^ C. Taylor, "Samsung intros 64-Gbit MLC NAND chip," Electronic News, October 23, 2007.
  136. ^ M. LaPedus, Intel, Micron roll 34-nm NAND device, EETimes, 5/29/2008.
  137. ^ Sandisk-Toshiba reclaim NAND process lead with 19 nm
  138. ^ E. S. Putna et al., Proc. SPIE vol. 7969, 79692K (2011).
  139. ^ e.g., US Patent Application 20090153826
  140. ^ IEDM 2011 Press Tip Sheet
  141. ^ Semiconductor International 9/14/2009 Intel Ramping 32 nm Manufacturing in Oregon
  142. ^ EETimes 9/22/2009 Otellini: Intel to ship more SOCs than PC CPUs -- someday
  143. ^ 22 nm HP Integrated Patterning Improvements for EUVL
  144. ^ Intel Confirms Production of 22nm Processors for late 2011
  145. ^ Sematech launches EUV metrology consortium
  146. ^ SanDisk, 2/26/2010 Investor Day.
  147. ^ T. Matsuyama et al., 2006 IEEE/SEMI Advanced Semiconductor Manufacturing Conference, 50-56 (2006).
  148. ^ J. Cobb et al., "Flare compensation in EUV Lithography," 2003 EUV Symposium, Antwerp.
  149. ^ ASML, 2009 Lithography Workshop.
  150. ^ ASML, Mask TWG, EUVL Symposium, 2008.
  151. ^ K. Murakami et al., Proc. SPIE 8322, 832215 (2012).
  152. ^ Y. Sekine et al., 1st International EUVL Symposium, 2002.
  153. ^ M. A. Golub and A. A. Friesem, J. Opt. Soc. Am. A, 24, 687 (2007).
  154. ^ Louis, E.; et al. (2011). "Nanometer interface and materials control for multilayer EUV-optical applications". Prog. Surf. Sci. 86 (11–12): 255–294. doi:10.1016/j.progsurf.2011.08.001. {{cite journal}}: Explicit use of et al. in: |last2= (help)
  155. ^ K. Bubke et al., Proc. SPIE vol. 6283, 628318 (2006).
  156. ^ H. Yang et al., Proceedings of the 1st IEEE Intl. Conf. on Nano/Micro Engineered and Molecular Systems, pp. 391–394 (2006).
  157. ^ D2S announces litho tradeoffs at 20 nm and below
  158. ^ W. Chao et al., JVST B 27, 2606-2611 (2009).
  159. ^ W. Chao et al., Proc. SPIE vol. 6883, 688309 (2008).
  160. ^ 2009 Sokudo Lithography Breakfast Forum
  161. ^ EUV late for 10 nm
  162. ^ ASML's EUV Roadmap Points to New Wavelength
  163. ^ Cymer EUV roadmap slips
  164. ^ Samsung resets EUV roadmap for memory scaling
  165. ^ Foundry rivals say EUV not ready for prime time
  166. ^ EUV misses 14 nm node
  167. ^ R. Peeters and S. Young, ASML Images 2012 Issue 1, p. 4.
  168. ^ ASML EUV tool update
  169. ^ Samsung and SKHynix EUV order
  170. ^ H. S. Kim, Future of Memory Devices and EUV Lithography, 2009 EUV Symposium
  171. ^ H. Mizoguchi, "Laser Produced Plasma EUV Light Source Gigaphoton Update," EUVL Source Workshop, May 12, 2008.

Further reading

Related links