User:Sirapob Ing/sandbox

From Wikipedia, the free encyclopedia
ASML Holding N.V.
Company typeNaamloze vennootschap
IndustrySemiconductor industry
Founded1984; 40 years ago (1984)
HeadquartersVeldhoven, Netherlands
Key people
Peter Wennink (CEO)
Gerard Kleisterlee (Chairman of the supervisory board)
ProductsPhotolithography systems for the semiconductor industry
RevenueIncrease 18.61 billion (2021)[1]
Increase €5.88 billion (2021)[1]
Total assetsIncrease €30.23 billion (2021)[1]
Total equityDecrease €10.14 billion (2021)[1]
Number of employees
32,016 (December 2021)[1]
Websitewww.asml.com
Footnotes / references
>14,000 patents (2021)

ASML Holding N.V. (commonly shortened to ASML and originally standing for "Advanced Semiconductor Materials Lithography") is a Dutch multinational corporation, headquartered in Veldhoven founded in 1984. The company is founded as a joint venture between the Dutch companies Advanced Semiconductor Materials International (ASMI) and Philips. ASML is specialize in the development and manufacturing of photolithography systems which used to produce computer chips. These computer chips is a set of electronic circuits on one small flat piece (or "chip") of semiconductor material, usually silicon. ASML is main business is in the researching engineering and creating of photolithography machine to be use in the fabrication of nearly all integrated circuits. Currently it is the largest supplier of photolithography systems primarily for the semiconductor industry and the sole supplier of extreme ultraviolet lithography (EUV) photolithography machines in the world.[2] ASML competitors include MKS Instruments, Ultratech, Lam Research and Cadence Design Systems. As of 2022, ASML was the most highly valued European tech company by market capitalization with about $200 Billion.[3] ASML ranks 1st in Product Quality Score on Comparably vs its competitors.[4] ASML employs more than 31,000 people from 120 nationalities, relies on a vast network of more than 4,600 tier 1 suppliers and has offices in the Netherlands, the United States, Belgium, France, Germany, Ireland, Israel, Italy, the United Kingdom, China, Taiwan, Hong Kong, Japan, Malaysia, Singapore, and South Korea.


Products[edit]

ASML´s competition consisted of Ultratech, Canon and Nikon, MKS Instruments, Lam Research and Cadence Design Systems.

Immersion lithography[edit]

In order to facilitate further downsizing, ArF immersion lithography maintains a thin water layer between the lens and the wafer, boosting NA and enhancing resolution. To print different layers of the same chip, ASML's immersion systems may be utilized in seamless cooperation with EUV systems. These systems are appropriate for both single-exposure and multiple-patterning lithography.[1]

EUV lithography[edit]

ASML manufactured extreme ultraviolet lithography machines that produce light in the 13.3–13.7 nm wavelength range. When a high-energy laser is focused on microscopic droplets of molten tin to produce a plasma, which then emits EUV light. As of 2021, their best selling product has been Twinscan NXE:3600D, using EUV at 5 and 3 nm wavelength costing 144 Million €, or 150 million $; shipping the machine requires 40 shipping containers, 20 trucks and three Boeing 747s.[5] The goal is to manufacture the most advanced semi-conductors at below 5 nm towards 2 nm.[6]

EUV 0.33 NA[edit]

  • The EUV platform from ASML advances the Logic and Memory roadmaps of ASML's clients by bringing resolution enhancements, cutting-edge overlay performance, and yearly cost savings. Light having a 13.5 nm wavelength and a 0.33 numerical aperture is used in EUV lithography. When compared to deep ultraviolet, the next most sophisticated lithography method utilized in cutting-edge chip manufacturing, this represents a wavelength reduction of approximately 15 times from DUV. [1]

EUV 0.55 NA (High-NA)[edit]

  • With a greater numerical aperture (NA) of 0.55 compared to the 0.33 NA EUV platform, the next generation of EUV lithography equipment being built by ASML after five years of engineering will significantly increase resolution. The EUV 0.55 NA (High-NA) platform maximizes compatibility with the EUV 0.33 NA platform in an effort to lower technical introduction risk and R&D expenses.[1]

DUV lithography[edit]

Deep ultraviolet (DUV) lithography devices from ASML that use light that penetrates the UV spectrum to print the tiny features that form the microchip's structure.

DUV lithography systems are the workhorses of the industry. DUV systems, which support multiple market segments, now manufacture the bulk of the layers in a client device and will continue to be crucial for next devices. For all DUV wavelengths currently utilized in the semiconductor industry, including I line's nm, KrF's 248 nm, and ArF's 193 nm, ASML offers immersion as well as dry lithography solutions. These systems facilitate the industry's cost- and energy-efficient scaling while assisting in the production of a wide variety of semiconductor nodes and technologies.[1]

In 2009, the IMEC research center in Belgium produced the world's first functional 22 nm CMOS Static random access memory memory cells with a prototype EUV lithography machine.[7] In 2011 series-produced (non-prototype) EUV machines were shipped.[8]

Nanoimprint lithography[edit]

In addition to immersion-based lithography and EUV lithography, ASML has a substantial intellectual property portfolio covering imprint lithography.[9] Nanoimprint lithography is a method of fabricating nanometer scale patterns by mechanical deformation of imprint resist and subsequent processes.

Dry Systems[edit]

The most advanced immersion lithography systems do not necessarily need to be used to manufacture every layer on a chip. More sophisticated lithography systems may be used to create some of the more complex layers, but the majority of them may frequently be printed using 'older' technology, including dry lithography systems. For ASML customers, the dry systems product portfolio offers more affordable solutions for all different kinds of wavelengths.[1]

Metrology and inspection systems[edit]

Chipmakers can measure the patterns they actually print on the wafer and compare them to the anticipated design using ASML metrology and inspection systems. From R&D through mass production and every stage of the manufacturing process, ASML's portfolio covers every stage of bringing a chip to market, enabling them to evaluate the efficiency of the entire process. The systems provide the ability to quickly and precisely build automated control loops using ASML process control solutions, optimizing the lithography system settings for each exposure to lower edge placement error (EPE), increase the process window, and achieve the highest yield and best performance in mass production. [1]

Optical metrology[edit]

  • Chipmakers may evaluate the quality of patterns on the wafer in volume production using ASML's YieldStar optical metrology systems through quick and precise overlay measurements. Overlay, or how well one layer of a chip is aligned with the preceding one, is a crucial component of EPE and a significant indicator of lithography success. Overlay and EPE become more and more crucial as the size of the structures on microchips decreases.[1]

E-beam metrology and inspection[edit]

  • By enabling clients to detect and examine specific chip flaws among billions of printed features, ASML's HMI e-beam systems expand the potential for process control. E-beam technologies have always been too slow to monitor high-volume production operations. However, ASML has improved a number of techniques for boosting e-beam systems' throughput.[1]

Computational lithography[edit]

  • ASML computational lithography solutions are used in the creation of new chips to optimize reticle patterns and lithography system setup to provide robust, manufacturable designs with high yields. Insight from computational lithography solutions is now increasingly utilized to guide metrology and inspection, increasing throughput and providing more precise process monitoring and control in high-volume manufacturing. These products, which depict a wide range of physical and chemical effects, are based on precise computer models of the lithography system and process. Techniques for machine learning are also being applied more frequently to further speed development. ASML is constantly expanding its computational lithography offering to expand the range and accuracy of models while decreasing computational time and cost.[1]

Ongoing Project[edit]

ASML's corporate headquarters in Veldhoven, Netherlands in undergoing a lot of research, development, manufacturing and assembly project. ASML employs more than 31,000 people from 120 nationalities and relies on a network of more than 4,600 tier 1 suppliers. ASML has a worldwide customer base and over sixty service points in sixteen countries.

Company[edit]

ASML's corporate headquarters is in Veldhoven, Netherlands and the location for research, development, manufacturing and assembly. ASML employs more than 31,000 people from 120 nationalities and relies on a network of more than 4,600 tier 1 suppliers. ASML has a worldwide customer base and over sixty service points in sixteen countries. It has offices in the Netherlands, the United States, Belgium, France, Germany, Ireland, Israel, Italy, the United Kingdom, China, Taiwan, Hong Kong, Japan, Malaysia, Singapore, and South Korea.

In 2020, it employed 28,000 people.[5] The company is listed on both the AEX and NASDAQ Stock Exchanges, as ASML. It is also a component of the Euro Stoxx 50 and NASDAQ-100.[10]

History[edit]

The company, originally named ASM Lithography, is named ASML, as its official name and not an abbreviation[11] and was founded in 1984 as a joint venture between the Dutch companies Advanced Semiconductor Materials International (ASMI) and Philips. Nowadays it is a public company. When the company became independent in 1988, it was decided that changing the name was not desirable, and the abbreviation ASML became the official company name.[12]

In 1997, ASML began studying a shift to using extreme ultraviolet and in 1999 joined a research consortium including Intel, two other U.S. chipmakers, as well as the Department of Energy. It collaborated with the Belgian Imec and Sematech and turned to ASML turned to Zeiss in Germany for its need of mirrors.[13]

In 2018, the Trump administration tried to block the sale of ASML technology to China,[14] but as of 2021, the 2020–present global chip shortage as well as the "technological cold war" between the US and China has been a business opportunity for ASML.[5]

In July 2021, Thierry Breton European Commissioner, visited ASML and announced a goal of at least 20% of world production of semiconductors in Europe by 2030, and support via a European Alliance on semiconductors.[6]

Finances[edit]

Shareholder[edit]

Top Institutional Holders[15]
Holder Shares Date Reported % Out Value
Price(T.Rowe) Associates Inc 10,991,878 Jun 29, 2022 2.70% 5,152,552,838
Capital World Investors 6,492,254 Jun 29, 2022 1.60% 3,043,309,048
Fisher Asset Management, LLC 4,595,741 Jun 29, 2022 1.13% 2,154,299,596
Capital International Investors 3,919,239 Jun 29, 2022 0.96% 1,837,182,511
Morgan Stanley 3,156,574 Jun 29, 2022 0.78% 1,479,675,659
WCM Investment Management, LLC 3,089,503 Sep 29, 2022 0.76% 1,448,235,456
Edgewood Management Company 2,919,498 Jun 29, 2022 0.72% 1,368,543,910
State Farm Mutual Automobile Insurance Co 2,834,225 Jun 29, 2022 0.70% 1,328,571,338
FMR, LLC 2,763,695 Jun 29, 2022 0.68% 1,295,509,695
Sands Capital Management, LLC 1,942,740 Jun 29, 2022 0.48% 910,678,821
Top Mutual Fund Holders[15]
Holder Shares Date Reported % Out Value
American Balanced Fund 2,523,702 Sep 29, 2022 0.62% 1,183,010,574
Growth Fund Of America Inc 2,407,395 Sep 29, 2022 0.59% 1,128,490,503
iShares Core MSCI EAFE ETF 2,138,919 Sep 29, 2022 0.53% 1,002,639,691
Washington Mutual Investors Fund 2,138,040 Sep 29, 2022 0.53% 1,002,227,651
Advisors Inner Circle Fund-Edgewood Growth Fd 1,623,694 Jun 29, 2022 0.40% 761,122,815
Price (T.Rowe) Growth Stock Fund Inc. 1,627,216 Jun 29, 2022 0.40% 762,773,788
iShares MSCI Eafe ETF 1,319,874 Sep 29, 2022 0.32% 618,704,149
Invesco ETF Tr-Invesco QQQ Tr, Series 1 ETF 1,264,161 Sep 29, 2022 0.31% 592,588,122
New Perspective Fund Inc 1,217,878 Sep 29, 2022 0.30% 570,892,503
Investment Managers Ser Tr-WCM Focused International Growth Fd 1,197,952 Jul 30, 2022 0.29% 561,551,991

Opportunity[edit]

The landscape of the semiconductor industry is being shaped by numerous factors. These are some of the key trends that will influence industry growth in the future.[1]

In 2020, A $440 billion industry was supported by the production of around 953 billion chips worldwide. The semiconductor industry expanded production in 2021 to over 1.1 trillion chips, creating a market worth $590 billion. Market researchers estimate that by 2025, the sector might reach a market size of approximately $700 billion, indicating that growth is expected to continue. [1]

Semiconductor industry market[edit]

ASML is able to harness the power of data more effectively and quickly than ever thanks to the Artificial Intelligence of Things, a network of intelligent and connected objects that can effortlessly communicate via powerful 5G networks. The internet of things (IoT) infrastructure and artificial intelligence (AI) technologies working together will make IoT operations more efficient, enhance machine-human interaction, and improve data management and analytics. The promise of AIoT will progressively become more apparent as IoT and AI merge more and more, made possible by 5G. The enormous quantity of data that is accessible to people and the insights it offers will drive the digital revolution of the semiconductor industry.[1]

Rising consumer demand[edit]

The global need for sophisticated semiconductors is still being driven by the convergence of wireless communication, telecom, media, and the cloud via connected devices. Urbanization and expanding populations are driving up demand for advanced consumer electronic devices. Microchips are at the heart of these devices. New and cutting-edge chips that are especially created for a wave of new applications are needed to support the significant growth drivers of the developing technologies.[1]

Global race for talent[edit]

On the job market, there are few highly qualified individuals with a technical background, and competition is getting fiercer. Top talent chooses their company of choice; employers do not choose top talent. As the industry fights for a tiny pool of scientists, engineers, and software developers with the skill set to build creative solutions, the competition for talent on a global scale is becoming more important.

Awards[edit]

In 2018[edit]

  • The IEEE Spectrum Emerging Technology 2018 Award to Dutch company ASML for its Extreme Ultraviolet Lithography system. ASML is currently the top supplier of photolithography systems to the semiconductor industry. [16]
  • In the category for Popular Prize, Vadim Banine (ASML) received the 2018 European Inventor Award for shaping the future of microchip manufacturing.[17]

In 2019[edit]

  • The largest independent microelectronics research center in Europe is called IMEC (Interuniversity Micro Electronics Centre). Martin van den Brink of ASML was given the 2019 IMEC Lifetime of Innovation Award.[18]
  • The Netherlands Association for Investor Relations (NEVIR), where listed companies and professionals in investor relations had the chance to be recognized for their outstanding work in the sector, presented awards to ASML in the categories: "Best Company in the field of Investor Relations" during the Dutch IR Awards 2019 annual award ceremony. [19]

In 2020[edit]

  • ASML received the SEMI Americas Award at the 2020 edition of the microelectronics conference SEMICON West for its collaborative approach to extreme ultraviolet lithography (EUV), which helped it become commercially viable and opened the door to new technological possibilities. [20]
  • The Preferred Quality Supplier (PQS) Award for 2020 has been awarded to ASML, which is pleased to announce it. ASML has attained a level of performance that continuously surpasses Intel's expectations for the commitment to continual quality improvement. [21]

In 2021[edit]

  • The Dutch Innovation Prize 2021 was awarded to ASML, a Veldhoven-based firm that creates small silicon microchips, at the 4th National BID AVROTROS Innovation Dinner held at Kasteel Wittenburg in Wassenaar. [22]

In 2022[edit]

  • ASML received the first CoSta Award for the most successful and impactful innovative partnership between a corporate company and a startup.

[23]

Reference[edit]

  1. ^ a b c d e f g h i j k l m n o p q r "ASML 2021 Annual Report" (PDF). asml.com. Retrieved 26 March 2022. Cite error: The named reference "AR" was defined multiple times with different content (see the help page).
  2. ^ Kamal, Kamal Y. (2022). "The Silicon Age: Trends in Semiconductor Devices Industry" (PDF). Journal of Engineering Science and Technology Review. 15 (1): 110–115. doi:10.25103/jestr.151.14. ISSN 1791-2377. S2CID 249074588. Retrieved 2022-05-26.
  3. ^ "Largest tech companies by market cap". marketcap.com. 2022-11-09. Retrieved 2022-11-09.
  4. ^ Comparably. (2022). https://www.comparably.com/companies/asml/competitors. Retrieved 2022-10-12
  5. ^ a b c Christoph G. Schmutz (July 30, 2021). "Wie die niederländische Firma ASML in den technologischen kalten Krieg zwischen den USA und China geraten ist".
  6. ^ a b Thierry Breton (21 May 2021). "Inside the future: Europe's plan to thrive in the global microchip race". European Commission website.
  7. ^ IMEC presents functional 22 nm SRAM cells fabricated using EUV technology Archived 1 June 2010 at the Wayback Machine. IMEC press release, 22 April 2009.
  8. ^ Third quarter 2011 results Archived 5 April 2012 at the Wayback Machine. ASML press release.
  9. ^ For example, U. S. Patents 7618250, 7692771 and U. S. Patent Applications 20070018360, 20100193994.
  10. ^ "Frankfurt Stock Exchange". Archived from the original on 8 February 2019. Retrieved 22 October 2015.
  11. ^ "About ASML: Questions and Answers". ASML Holding. Archived from the original on 28 July 2010. Retrieved 3 August 2010.
  12. ^ "ASML: About ASML". 2014-02-20. Archived from the original on 28 July 2010. Retrieved 2014-11-30.
  13. ^ Clark, Don (2021-07-04). "The Tech Cold War's 'Most Complicated Machine' That's Out of China's Reach". The New York Times. ISSN 0362-4331. Retrieved 2022-11-09.
  14. ^ "Trump administration pressed Dutch hard to cancel China chip-equipment sale: sources". Reuters. 2020-01-06. Retrieved 2022-11-09.
  15. ^ a b "Yahoo Finance.Com". finance.yahoo.com. Retrieved 6 November 2022.
  16. ^ "IEEE Spectrum Emerging Technology Award ASML 2018 IEEE honors ceremony". ieeetv.ieee.org. Retrieved 6 November 2022.
  17. ^ "European Inventor Award 2018". zeiss.com. Retrieved 6 November 2022.
  18. ^ "ASML Man wins top award". eindhovennews.com. Retrieved 3 November 2022.
  19. ^ "ASML, BESI, Basic Fit winners Dutch IR Awards 2019". cffcommunications.nl. Retrieved 3 November 2022.
  20. ^ "ASML wins semi-Americas Award for EUV". asml.com. Retrieved 30 October 2022.
  21. ^ "ASML earns Intel's 2020 Preferred Quality Supplier PQS Award". marketscreener.com. Retrieved 30 October 2022.
  22. ^ "ASML Wins Dutch Innovation Prize". siliconcanals.com. Retrieved 30 October 2022.
  23. ^ "ASML and Incooling Win CoSta Award". incooling.com. Retrieved 12 November 2022.