Intel Atom

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by Pmj (talk | contribs) at 06:21, 8 January 2010 (→‎Atom N series: that statement is not backed up by either of the references it was inserted before -- moving it after them). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

Intel Atom
File:Intel Atom 2009.png
General information
Launched2008–present
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate800 MHz to 2 GHz
FSB speeds400 MHz to 667 MHz
Architecture and classification
Technology node45nm
Instruction setMMX, SSE, SSE2, SSE3, x86, x86-64 (not for the N and Z series)
Physical specifications
Cores
  • 1, 2
Package(s)
Products, models, variants
Core name(s)
  • Silverthorne
  • Diamondville

Intel Atom is the brand name for a line of ultra-low-voltage x86 and x86-64 CPUs (or microprocessors) from Intel, designed in 45 nm CMOS and used mainly in netbooks, nettops, and Mobile Internet devices (MIDs). On Dec 21, 2009 Intel announced the next generation of Atom processors, including the N450, with total kit power down 40%[1].

Background

Prior to the Silverthorne announcement, outside sources had speculated that Atom would compete with AMD's Geode system-on-a-chip processors, used by the One Laptop per Child project, and other cost- and power-sensitive applications for x86 processors. However, Intel revealed on October 15, 2007[2] that it was developing another new mobile processor, codenamed Diamondville, for OLPC-type devices.

"Atom" was the name under which Silverthorne would be sold, while the supporting chipset formerly code-named Menlow was called Centrino Atom.[3] Intel's initial Atom press release only briefly discussed "Diamondville" and implied that it too would be named "Atom"[4], strengthening speculation that Diamondville is simply a lower-cost, higher-yielding version of Silverthorne with slightly higher TDPs at slightly lower clock speeds.[5]

At Spring Intel Developer Forum (IDF) 2008 in Shanghai, Intel officially announced that Silverthorne and Diamondville are based on the same microarchitecture. Silverthorne would be called the Atom Z series and Diamondville would be called the Atom N series. The more expensive lower-power Silverthorne parts will be used in Intel Mobile Internet Devices (MIDs) whereas Diamondville will be used in low-cost desktop and notebooks. Several Mini-ITX motherboard samples have also been revealed.[6] Intel and Lenovo also jointly announced an Atom powered MID called the IdeaPad U8.[7] The IdeaPad U8 weighs 280 g and has a 4.8 in (12 cm) touchscreen providing better portability than a netbook PC and easier Internet viewing than a mobile phone or PDA.

In April 2008, a MID development kit was announced by Sophia Systems[8] and the first board called CoreExpress®-ECO was revealed by a German company LiPPERT Embedded Computers, GmbH.[9][10] Intel offers Atom based motherboards.[11][12]

Availability

Atom processors became available to system manufacturers in 2008. Because they are soldered, like northbridges and southbridges, onto a mainboard, Atom processors are not available to home users or system builders as separate processors, although they may be obtained preinstalled on some ITX motherboards. The Diamondville Atom is used in the HP Mini Series, aigo MID Asus N10, Lenovo IdeaPad S10, Acer Aspire One & Packard Bell's "dot" (ZG5), recent ASUS Eee PC systems, AMtek Elego, Dell Inspiron Mini Series, Gigabyte M912, LG X Series, Samsung NC10, Sylvania g Netbook Meso, Toshiba NB series (100, 200, 205), MSI Wind PC netbooks, RedFox Wizbook 1020i, Zenith Z-Book, a range of Aleutia desktops, and the Archos 10.

Performance

The performance of a single core Atom is about half that of a Celeron of the same clock rate. For example, the Atom N270 found in many netbooks such as the Eee PC can deliver around 3300 MIPS and 2.1 GFLOPS in standard benchmarks,[13] compared to 7400 MIPS and 3.9 GFLOPS for the similarly clocked (1.73 GHz) Pentium M 740.[14]

Instruction set architecture

Atom implements the x86 (IA-32) instruction set; x86-64 is so far only activated for the Atom 230 and 330 desktop models. N and Z series Atom models cannot run x86-64 code.[15]

Architecture

Intel Atom can execute up to two instructions per cycle. Like many other x86 microprocessors, it translates x86-instructions (CISC instructions) into simpler internal operations (sometimes referred to as micro-ops i.e. effectively RISC style instructions) prior to execution. The majority of instructions produce one micro-op when translated, with around 4% producing multiple micro-ops. The number of instructions that produce more than one micro-op is significantly fewer than the P6 and NetBurst microarchitectures. In the Atom, internal μ-ops can contain both a memory load and a memory store in connection with an ALU operation, thus being more similar to the x86 level and more powerful than the μ-ops used in previous designs.[16] This enables relatively good performance with only two integer ALUs, and without any instruction reordering, speculative execution, or register renaming. Atom therefore represents a partial revival of the principles used in earlier Intel designs such as Intel P5 and the i486, with the sole purpose of enhancing the performance per watt ratio. However, Hyper-Threading is implemented as an easy (i.e. low power) way to employ both pipelines efficiently by avoiding the typical single thread dependencies.[16]

Intel Atom processor family
Previous logo Current logo Code-name Series Core TDP HT x86-64 Intel VT Release date Remarks
Intel Atom logo 2008 Intel Atom logo 2009 MID / Ultra-Mobile PC
Silverthorne Atom Z single (45 nm) 0.65~2 W No No No April 2008 Silverthorne can be part of Centrino Atom which was discontinued in Q3 2008
2~2.4 W Yes Yes
Classmate PC / Netbook / Nettop
Diamondville Atom N single (45 nm) 2.5 W Yes No No June 2008 Netbooks or low-cost laptops
Atom 200 4 W Yes Nettops or low-cost desktops
Atom 300 dual (45 nm) 8 W September 2008
List of Intel Atom microprocessors

Atom Z series

On March 2, 2008, Intel announced a new single-core processor (code-named Silverthorne) to be used in ultra-mobile PCs/Mobile Internet Devices (MIDs) which will supersede Intel A100. The processor is a 47 million transistor, 25 mm2, sub-3 W IA processor which allows ~2500 chips to fit on a single 300 mm diameter wafer, allowing for extremely economical production.

An Atom Z500 processor's dual-thread performance is equivalent to its predecessor Intel A110, but should outperform it on applications that can leverage simultaneous multithreading and SSE3.[17] They run from 0.8 to 2.0 GHz and have between 0.65 and 2.4 W TDP rating respectively that can dip down to 0.01 W[18] when idle. It features a 2-issue simultaneous multithreading, 16 stage in-order pipeline with 32 KB instruction L1 and 24 KB data L1 caches, integer and floating point execution units, x86 front end, a 512 KB L2 cache and data transferred at 533 MHz on the front-side bus. The design is manufactured in 9M 45 nm high-k metal-gate CMOS and housed in a 441-ball µFCBGA package.[19][20]

Atom N series

The Intel Atom N270

On March 2, 2008, Intel announced lower-power variants of the Diamondville and Pineview CPUs previously intended for nettops, to be used in the Classmate PC netbook.[21][22][23][24] Like their predecessors, these are single-core parts with HyperThreading.

The N270 has 2.5 W TDP, running at 1.6 GHz[25][26] with a 533 MHz FSB. The N280 has a 1.66 GHz clockspeed and a 667 MHz FSB with the same TPD.

On December 21, 2009 Intel announced the N450 with integrated graphics.[1] Total kit power goes from 11.8 W to 7 W with the new processor and chipset, a 40% improvement.[27][28] Intel GMA 3150, a 45nm shrink of the GMA 3100 with no HD capabilities, is included as the on-die GPU. Netbooks using this new processor were expected to be publicly shown at CES on January 4, 2010, and to be released for sale on January 11, 2010.[29][30] The major new feature includes longer battery life, with 6 cell systems reporting 10 or more hours of battery life.[31][32][33]

Atom 300 series

On September 22, 2008, Intel announced a new dual-core processor (unofficially code-named Dual Diamondville) branded Atom 330 of the Atom 300 series to be used in desktop computers. It runs at a 1.6 GHz clock speed and has an FSB running at 533 MHz. The processor has an 8 W TDP rating. Its dual core comprises two Diamondville dies next to each other on a single package (substrate). Atom 330 supports 64 bit instructions.

During 2009, Nvidia used the Atom 300 and their GeForce 9400M chipset on a mini-ITX form factor motherboard for their Ion platform.

Power requirements

The relatively power efficient Atom CPU is often used with a cheaper, more power consuming chipset like the Intel 945G

Although the Atom processor itself is relatively power efficient for an x86 microprocessor, many chipsets commonly used with it dissipate significantly more power. For example, while the N270 CPU commonly used in netbooks has a net maximum TDP of 2.5 W, an Intel Atom platform that uses the 945GSE Express chipset has a specified maximum TDP of 11.8 W, with the processor using a relatively small portion of the total power consumed. Individual figures are 2.5 W for the N270 processor, 6 W for the 945GSE chipset and 3.3 W for the 82801GBM I/O controller.[34][35][36][37] Intel also provides the Intel System Controller Hub US15W chipset with a combined TDP of less than 5 W together with the Atom processor Z5xx (Silverthorne) series, to be used in ultra-mobile PCs/Mobile Internet Devices (MIDs).[38]

Initially, all Atom motherboards on the consumer market featured the Intel 945GC chipset, which uses 22 watts alone. As of early 2009, only a few manufacturers are offering lower power 945GSE-based motherboards to end users, paired with the Atom N270 or N280 CPU, while Dell's Mini10 (but not Mini10V) uses the US15W chipset with Z-series processors.

Future

The next generation of the Atom is codenamed "Pineview" which utilizes the "Lincroft" system-on-a-chip architecture and is used in the "Pine Trail" platform. The new Atom will be available on Jan 11.[39] It will be used in netbook/nettop systems, and feature a system-on-chip (SOC) with an integrated single-channel DDR2 memory controller and an integrated graphics core. It will feature hyper-threading, and is to be manufactured on a 45 nm[40] or 32 nm[41] process. The new system-on-a-chip design will use half the power of the older Menlow platform. This reduced overall power consumption and size will make the platform more desirable for use in smartphones and other mobile internet devices.

LinuxTECH.NET[42] has put together an overview of all announced/released "Pine Trail" platform motherboards.

Intel CEO Paul Otellini has stated that, along with other improvements, Atom (specifically Silverthorne) will shrink to the 32 nm process in 2009.[43] It has been suggested that the Atom will be the first Intel chip to transition to 32 nm due to its small size and low complexity.[44]

The next generation platform for the netbook version of the Intel Atom is codenamed Pine Trail, which utilizes an Atom processor codenamed "Pineview" and a chipset codenamed "Tiger Point". The graphics and memory controller move into the processor, which will be paired with the Tiger Point chipset. This creates a 2 chip platform rather than the 3 chip one currently used with existing Atom chipsets.[45] In the second-half of 2010, Intel will release new versions of Pineview Atom chips that will support for DDR3 memory.[46]

On December 23, 2009, MSI introduced a new series of netbook, Wind U130 AND U135, which will be the first series of netbook to incorporate the new Pine Trail platform and will be available on January 2010.

In 2011, Intel will release a new Atom platform for netbook codenamed Cedar Trail which will be fabricated on the 32 nm technology. Details are rarely known, but Intel claimed that the chip will boast double performance as compared to the yet released Pine Trail.[47]

Collaboration with TSMC

In March 2009, Intel announced that they will be collaborating with TSMC for the production of the Atom processors.[48]

Competition

Embedded processors based on the ARM version 7 instruction set architecture (such as TI's OMAP 3 series based on the Cortex-A8 processor, the Freescale i.MX51 also based on the Cortex-A8, the Qualcomm Snapdragon based on a custom ARMv7 implementation, or the Nvidia Tegra) offer similar performance to the low end Atom chipsets[dubious ] but at roughly one quarter the power consumption, and (like most ARM systems) as a single integrated system on a chip, rather than a two chip solution like the current Atom line. Although the next-generation Atom codenamed "Pineview" should greatly increase its competitiveness in performance/watt, ARM plans to counter the threat with the multi-core capable Cortex-A9 processor.

The Nano series from VIA is slightly above the average thermal envelope of the Atom, but offers hardware AES support, random number generators, and out-of-order execution. Performance comparisons of the Intel Atom against the Via Nano indicate that a single core Intel Atom is outperformed by the Via Nano which is in turn outperformed by a dual core Intel Atom 330 in tests where the second core is used.[49][50][51][52][53][54][55]

The Xcore86 (also known as the PMX 1000) is x586 based System on Chip (SoC) that offers a below average thermal envelope compared to the Atom.

Kenton Williston of EE Times said that while Atom will not displace ARM from its current markets, the ability to apply the PC architecture into smaller, cheaper and lower power form factors will open up new markets for Intel.[56]

See also

References

  1. ^ a b http://www.intel.com/pressroom/archive/releases/2009/20091221comp_sm.htm
  2. ^ "Intel to unveil [[OLPC]] chips in Shanghai next April". InfoWorld. 2007-10-15. {{cite web}}: URL–wikilink conflict (help)
  3. ^ PC World - Intel Announces Atom Brand for Silverthorne, Menlow
  4. ^ "Intel Announces Intel Atom Brand for New Family of Low-Power Processors" (Press release). Intel. March 2, 2008.
  5. ^ Diamondville: Silverthorne in Disguise, AnandTech.
  6. ^ http://www.hwupgrade.it/articoli/cpu/1938/intel-developer-forum-spring-2008-day-1_10.html
  7. ^ Lenovo exhibits Atom based MID Ideapad U8 at IDF 2008 : Specs, reviews and prices
  8. ^ MID dev kit sports Centrino Atom chipset
  9. ^ Tiny Centrino Atom-based module unveiled
  10. ^ CoreExpress
  11. ^ Intel Desktop Board D945GCLF - Overview
  12. ^ Intel offers $80 "Little Falls" Atom mobo
  13. ^ [1]
  14. ^ Intel Pentium M 740 PCSTATS Review - Benchmarks: Office Productivity, SiSoft Sandra 2005
  15. ^ http://www.intel.com/products/processor/atom/specifications.htm
  16. ^ a b [2]
  17. ^ "ISSCC 2008: Details on Intel Silverthorne". 2008-02-04. Retrieved 2008-02-05.
  18. ^ "Intel christens Silverthorne as "Atom"". 2008-03-02. Retrieved 2008-03-02.
  19. ^ "ISSCC 2008 Preview: Silverthorne, Rock, Tukwila and More". 2007-12-05.
  20. ^ "Intel sheds a little more light on Silverthorne, Posted by Tom Krazit". 2008-02-05.
  21. ^ LinuxWorld - Intel expects Atom desktops for $199
  22. ^ Intel plots $100 "NetTop" market with Diamondville - Engadget
  23. ^ Gizmodo, the Gadget Guide
  24. ^ 電腦領域 HKEPC Hardware - 全港 No.1 PC網站 - Dual Core版本Atom處理器Q3上陣 代號「Little Fall 2」 最高TDP僅12W
  25. ^ Intel Atom Processor N270 (512K Cache, 1.60 GHz, 533 MHz FSB)
  26. ^ Intel Atom Processor 230 (512K Cache, 1.60 GHz, 533 MHz FSB)
  27. ^ 9.3W + 2.5W = 11.8W
  28. ^ [3]
  29. ^ http://www.dailytech.com/Intel+Officially+Announces+Pineview+Atom+Chips+Pine+Trail+Netbook+Platform/article17056.htm
  30. ^ http://news.cnet.com/8301-1001_3-10419387-92.html
  31. ^ http://www.engadget.com/2009/12/18/asus-eee-pc-1005p-pe-with-atom-n450-and-12-5-hour-battery-breaks/
  32. ^ http://www.pinoywebsurfer.com/2009/12/new-intel-atom-n450-not-much.html
  33. ^ AnandTech review of the ASUS Eee PC 1005PE
  34. ^ http://ark.intel.com/system.aspx?groupID=36331&configID=27616&chipsetID=36550
  35. ^ http://ark.intel.com/cpu.aspx?groupId=36331
  36. ^ http://ark.intel.com/chipset.aspx?familyID=35553
  37. ^ http://ark.intel.com/product.aspx?id=27680
  38. ^ slstrohm (03-Dec-07). "System Controller Hub US15W for Embedded Computing". Intel. Retrieved 2009-01-06. {{cite web}}: Check date values in: |date= (help)
  39. ^ http://www.hardocp.com/news/2009/12/04/vendors_launching_atom_n450_netbooks_soon/
  40. ^ Shilov, Anton (2008-04-01). "Intel Atom Processors Set to Get Embedded Graphics Core, Memory Controller – Rumours". X-bit Labs. Retrieved 2008-07-21.
  41. ^ [4]
  42. ^ [5]
  43. ^ Shah, Agam (2008-05-06). "Intel to deliver six-core Xeon processor this year:Intel confirms six-core Xeon processor for 2008". Computerworld. Fairfax Media Publications Pty Limited. Retrieved 2008-07-21.
  44. ^ Intel atom in 32nm 2009? - Hardware-Infos News
  45. ^ http://www.engadget.com/2009/05/20/intel-details-next-generation-atom-platform-say-hello-to-pine-t/
  46. ^ http://techreport.com/discussions.x/18136
  47. ^ http://gadgethobby.com/intel-cedar-trail-doubles-the-speed/
  48. ^ TSMC To Build Intel's Atom-Based Chips "TSMC To Build Intel's Atom-Based Chips". Forbes. 2009-03-02. Retrieved 2009-03-03. {{cite web}}: Check |url= value (help)
  49. ^ http://www.tweaktown.com/articles/1540/intel_atom_vs_via_nano_platform_comparo/index.html
  50. ^ http://enthusiast.hardocp.com/article/2008/07/29/intel_atom_vsvia_nano
  51. ^ http://www.trustedreviews.com/cpu-memory/review/2008/08/15/VIA-Nano-vs-Intel-Atom/p1
  52. ^ http://www.mydigitallife.info/2008/07/31/via-nano-outperforms-intel-atom-in-actual-industry-performance-benchmarking-tests/
  53. ^ http://www.mydigitallife.info/2008/03/08/intel-atom-initial-benchmarking-data-vs-pentium-and-celeron-m-processors-before-official-release/
  54. ^ http://www.eeejournal.com/2008/05/eee-pc-vs-msi-wind-atom-vs-celeron-cpu.html
  55. ^ http://en.hardspell.com/doc/showcont.asp?news_id=4128
  56. ^ Analysis: The real scoop on Atom-ARM rivalry

Press

External links