Company |
EDA products
|
Agilent Technologies EEsof EDA division
|
- Advanced Design System (ADS)
- EMPro (formerly Antenna Modeling Design System (AMDS))
- GoldenGate RFIC Simulator (superseded RF Design Environment (RFDE))
- IC-CAP (pronounced "icecap" or "eye-cee-cap") device modeling software and systems
- Momentum - method of moments
Note: Stand alone product formerly known as Electromagnetic Design System (EMDS) has been superseded by FEM Element running under the ADS and EMPro platforms
|
Atrenta Inc.
|
- SpyGlass® Product Family for RTL Verification & Optimization
- 1Team® Product Family for Design Capture & Exploration
|
Agnisys Inc.
|
- IDesignSpec Register Management tool
- IVerifySpec Verification Management tool
|
Aldec, Inc.
|
|
Altium
|
|
Analog Rails, Inc. |
- Analog Rails Design Environment. (Automated analog design.)
|
Ansoft Corporation |
HFSS (High-Frequency Structure Simulation)
|
Applied Wave Research |
- Microwave Office
- Analog Office
- Visual System Simulator
- APLAC
- AXIEM
|
Azuro
|
- PowerCentric - Clock tree synthesis and automatic clock gating
- Rubix - Physical optimization and automatic useful skew
|
Berkeley Design Automation
|
- Analog FastSPICE - circuit simulator
- Noise Analysis Option - device noise analyzer
- RF FastSPICE - periodic analyzer
- PLL Noise Analyzer
|
Cadence Design Systems
|
- Virtuoso - IC Artist
- Virtuoso - IC Layout
- Virtuoso - Layout Migrate
- Encounter - Digital IC design
- Encounter - Conformal-LEC
- Encounter - Conformal Low Power
- Encounter - Conformal ECO Designer
- Encounter - Conformal Constraint Manager
- Encounter - RTL Compiler
- Encounter - RTL Compiler Physical
- Encounter - Test ATPG
- Encounter - Test Daignostics
- Encounter - Design Implementation
- Encounter - QRC (Extraction & Checking)
- Encounter - Nanoroute
- Allegro - PC/MCM design
- Incisive - functional verification
- Design for Manufacturing
|
CadSoft
|
|
CoFluent Design
|
- CoFluent Studio
- CoFluent Reader
|
EREMEX
|
- TopoR - topological router for printed circuit boards laid out in any compatible systems that use the PCAD ASCII PCB, PADS ASCII PCB or DSN format.
|
Denali Software, Inc.
|
- Spectra
- PureSpec
- MMAV
- Databahn
- Blueprint
|
Dolphin Integration
|
- SLED - Schematic entry and netlisting
- SMASH - Mixed-signal, mixed-language modeling and simulation
- SCROOGE TLA - Mixed-signal power consumption estimation
- SoC GDS - Layout viewer and processor
- GDS Reticle - Test pattern frame generator
|
Forte Design Systems
|
|
Impulse Accelerated Technologies
|
C compiler tools for FPGA devices
|
Intellitech
|
- Nebula Silicon Debugger - Interactive program which connects to Synopsys VCS and Cadence Design Systems NCSim for pre-silicon validation with cross-reference during connection to real silicon
|
JEDA Technologies
|
- C/C++/SystemC Model Validation Tool Suite
- OCP Validation Suite
- TLM2.0 Validation Suite
|
MAGILLEM
|
- MPA : MAGILLEM PLATFORM ASSEMBLY RTL(Block capture and netlist generation in VHDL, Verilog, System Verilog)
- MPA : MAGILLEM PLATFORM ASSEMBLY ESL(System C netlist, cosimulation setup with RTL)
- MRV : MAGILLEM Register View (Register Capture and Hw/Sw Code generation)
- MIP : MAGILLEM IP PACKAGER (Test and Convert IP files into IEEE 1685 IP-XACT FORMAT)
- MGS : MAGILLEM Generator Studio (Write and Debug IEEE 1685 IP-XACT generators for CAD flows)
- RevEnge : MAGILLEM Design System Cartography and Obsolescence for ASIC/FPGA/PCB/Embedded
|
Magma Design Automation, Inc.
|
- Talus: Digital implementation
- Titan: Analog/mixed-signal
- FineSim: Circuit simulation
- Quartz: Physical verification
- SiliconSmart: Library characterization
- Quartz Formal: Formal Verification
|
Mentor Graphics
|
- ADiT - (IC Nanometer Design: fast SPICE)
- ADVance MS - (IC Nanometer Design: mixed-signal simulator)
- Board Station - (PCB design software)
- Calibre - (physical verification)
- Catapult Synthesis - (ESL Design: high-level synthesis)
- Catapult Library Builder - (ESL Design: high-level synthesis)
- Design Architect-IC - (IC Nanometer Design)
- Eldo - (IC Nanometer Design: SPICE simulator)
- Eldo RF - (IC Nanometer Design: SPICE simulator)
- Expedition - (PCB design software)
- IP - (intellectual property now part of embedded systems division)
- ModelSim LE - (IC Nanometer Design: digital design and simulation. Linux-based simulator with Dataflow Window and Waveform Compare.)
- ModelSim PE - (IC Nanometer Design: digital design and simulation. Windows-based simulator for VHDL, Verilog, or mixed-language simulation environments.)
- ModelSim SE - (IC Nanometer Design: digital design and simulation. Tri-lingual simulator with VHDL, Verilog, and SystemC.)
- Nucleus EDGE - (embedded systems development tools)
- Inflexion - (embedded systems application platform tools)
- Nucleus OS - (embedded systems OS)
- Olympus-SoC - place and route
- PADS - (PCB design software)
- Platform Express Professional - (ESL Design: platform-based design)
- Platform Express Integrator's Kit - (ESL Design: platform-based design)
- Platform Express Client - (ESL Design: platform-based design)
- Questa -
- System Architect - (ESL Design: system analysis)
- Vista - (ESL Design: system debug)
- Visual Elite - (ESL Design: system integration)
Through LogicVision Acquisition
- Dragonfly - Embedded test IP insertion tool for logic, memory and mixed-signal testing
- Silicon Insight - Interactive program that works with 3rd party testers for controlling and logging of data on the device for at-speed testing
- Yield Insight - Yield analysis program which looks at foundry and performance data to diagnose possible problems
|
National Instruments Electronics Workbench Group
|
|
Novarm, Ltd.
|
- DipTrace - Schematic capture and PCB layout
|
Obsidian Software
|
- RAVEN - Random Architecture Verification Engine
|
Physware, Inc. |
|
Sankhya Technologies, Private Limited. |
- Teraptor Designer (Processor and System Design)
- Teraptor Player (Virtual Prototypes)
|
SpringSoft, Inc. |
- Verdi automated debug system
- Debussy debug modules
- Siloti visibility enhancement solutions
- Certitude Functional Qualification System
- Laker Custom Layout System
|
Silvaco International |
TCAD process and device simulation
|
Sigrity, Inc. |
- OptimizePI
- PowerDC
- XtractIM
- PowerSI
- Broadband SPICE
- SPEED2000
- Channel Designer
- XcitePI
- OrbitIO Planner
- Unified Package Designer (UPD)
|
Simberian Inc.
|
- Simbeor Electromagnetic Signal Integrity Software
|
Simucad Design Automation, Inc.
|
- Analog & mixed signal
- UTMOST III
- UTMOST IV
- SPAYN
- Gateway
- SmartSpice
- SmartSpiceRF
- Harmony
- Custom IC CAD
- Interconnect modeling
- QUEST
- CLEVER
- STELLAR
- EXACT
- Digital CAD
- SILOS-X
- HyperFault
- AccuCell
- AccuCore
|
Solido Design Automation
|
- Variation Designer - analog/mixed-signal and custom IC design
- Monte Carlo+ package
- PVT+ package
- Proximity+ package
|
Sundance DSP Inc.
|
- PARS (Parallel Application from Rapid Simulation)- Application generated automatically from Simulink(R) model for target multi-DSP/FPGA hardware.
- EDA tool for generating deployable application directly from model.
|
Synopsys, Inc.
|
- Astro - place and route
- Cosmos Scope
- Custom Designer
- Design Compiler
- DFT Compiler
- DFTMAX compression
- Formality
- Hercules - physical verification
- HSIM
- HSPICE
- IC Compiler - place and route
- IC Validator
- NANOSIM
- Physical Compiler
- Proteus OPC
- PrimeTime - static timing analysis
- Saber
- Sentaurus TCAD
- Spice explorer
- Star-RCXT
- TetraMAX ATPG
- VCS
- XA
- Yield Explorer - yield management
|
Tanner EDA
|
- T-Spice Pro : includes Schematic capture, Simulation, Waveform Analysis Platform
- HiPer Design : includes L-Edit layout editor, DRC & LVS Verification tools
- Advanced Layout Acceleration tools : includes Schematic-Driven Layout Routing, Differential Pair and Current Mirror device generation
- MEMS design Tools : Special Curve tools and import/export utilities that provide a full design kit for MEMS
|
Veridae Systems, Inc.
|
- Clarus Post-Silicon Validator: automatically build on-chip observation network
- Clarus Prototyper: Multi-FPGA Prototype Debug Suite
- Clarus Workbench: FPGA Debug Suite
|
Visionics
|
|
Zeni EDA
|
- SE - Schematic Editor
- SPICE - SPICE Simulator
- PDT - Physical Design Tool
- VERI & HVERI - Physical Verification
- PE - Parasitic Extraction
- SI - Signal Integrity
|
Zuken Inc.
|
|