Jump to content

Zen 3

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by Songofgrace (talk | contribs) at 19:36, 18 July 2021 (correcting citation). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

AMD Zen 3
File:Zen-3-logo.jpg
General information
LaunchedNovember 5, 2020; 3 years ago (November 5, 2020)[1]
Designed byAMD
Common manufacturer
Cache
L1 cache64 KB per core[2]
L2 cache512 KB per core[2]
Architecture and classification
Technology nodeTSMC 7 nm
Physical specifications
Cores
  • 4 to 16 (desktop)
Sockets
Products, models, variants
Product code name
  • Vermeer (desktop)
    Cézanne (mobile)
    Milan (server)
History
PredecessorZen 2
SuccessorZen 4

Zen 3 is the codename for a CPU microarchitecture by AMD, released on November 5, 2020.[1][3] It is the successor to Zen 2 and uses TSMC's 7 nm process for the chiplets and GlobalFoundries's 14 nm process for the I/O die (as it did with the Ryzen 3000XT processors).[6] Zen 3 powers Ryzen 5000 mainstream desktop processors (codenamed "Vermeer") and Epyc server processors (codenamed "Milan").[7][4] Zen 3 is supported on motherboards with 500 series chipsets; 400 series boards will also see support on select B450 / X470 motherboards with certain beta BIOSes.[8] Zen 3 is expected to be the last microarchitecture before AMD switches to DDR5 memory and new sockets.[3] According to AMD, Zen 3 has a 19% higher instructions per cycle (IPC) on average than Zen 2.

Features

Zen 3 is a significant incremental improvement over its predecessors, with an IPC increase of 19%,[9] and being capable of reaching higher clock speeds.

Like Zen 2, Zen 3 is composed of up to 2 core complex dies (CCD) along with a separate IO die containing the I/O components. A Zen 3 CCD is composed of a single core complex (CCX) containing 8 CPU cores and 32 MB of shared L3 cache, this is in contrast to Zen 2 where each CCD is composed of 2 CCX, each containing 4 cores each as well as 16 MB of L3 cache. The new configuration allows all 8 cores of the CCX to directly communicate with each other and the L3 Cache instead of having to use the IO die through the Infinity Fabric.[9]

Zen 3 also features Smart Access Memory (SAM), a technology allowing Zen 3 processors to directly access all of a compatible graphic card's VRAM.[10] According to AMD, this allows an increased FPS of up to 11% in certain games.[11] SAM is an implementation of Resizable BAR, an optional feature introduced in PCIe 2.0 that, as of the launch of Zen 3, only AMD has explored.[12] As of December 2020, Intel and Nvidia are reportedly working on implementing Resizable BAR in the near future.

In Zen 3, a single 32MB L3 cache pool is shared among all 8 cores in a chiplet, vs Zen 2's two 16MB pools each shared among 4 cores in a core complex, of which there were two per chiplet. This new arrangement improves the cache hit rate as well as performance in situations that require cache data to be exchanged among cores, but increases cache latency from 39 cycles in Zen 2 to 46 clock cycles and halves per-core cache bandwidth, although both problems are partially mitigated by higher clock speeds. Total cache bandwidth on all 8 cores combined remains the same due to power consumption concerns. L2 cache capacity and latency remain the same at 512KB and 12 cycles. All cache read and write operations are done at 32 bytes per cycle.[2]

Improvements

Zen 3 has made the following improvements over Zen 2:[2][13]

  • An increase of 19% in instructions per clock
  • The base core chiplet has a single eight-core complex (versus two four-core complex in Zen 2)
  • A unified 32MB L3 cache pool equally available to all 8 cores in a chiplet, vs Zen 2's two 16MB pools each shared among 4 cores in a core complex.
  • Increased branch prediction bandwidth. L1 branch target buffer size increased to 1024 entries (vs 512 in Zen 2)
  • Improved integer units
    • 96 entry integer scheduler (up from 92)
    • 192 entry physical register file (up from 180)
    • 10 issue per cycle (up from 7)
    • 256 entry reorder-buffer (up from 224)
    • fewer cycles for DIV/IDIV ops (10...20 from 16...46)
  • Improved floating point units
    • 6 µOP dispatch width (up from 4)
    • 4 cycles for fused-multiply-add-ops (down from 5)

Feature tables

CPUs

CPU features table

APUs

APU features table

Products

AMD Ryzen 7 5800X

On October 8, 2020, AMD announced four Zen 3-based desktop Ryzen processors, consisting of one Ryzen 5, one Ryzen 7, and two Ryzen 9 CPUs and featuring between 6 and 16 cores.[1]

Desktop CPUs

Vermeer

Common features of Ryzen 5000 desktop CPUs:

  • Socket: AM4.
  • All the CPUs support DDR4-3200 in dual-channel mode.
  • All the CPUs support 24 PCIe 4.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • No integrated graphics.
  • L1 cache: 64 KB per core (32 KB data + 32 KB instruction).
  • L2 cache: 512 KB per core.
  • Fabrication process: TSMC 7FF.
Branding and model Cores
(threads)
Thermal
solution
Clock rate (GHz) L3 cache
(total)
TDP Chiplets Core
config[i]
Release
date
MSRP
Base Boost
Ryzen 9 5950X 16 (32) 3.4 4.9 64 MB 105 W 2 × CCD
1 × I/OD
2 × 8 Nov 5, 2020 US $799
5900XT 3.3 4.8 Jul 31, 2024 US $349
5900X 12 (24) 3.7 2 × 6 Nov 5, 2020 US $549
5900 3.0 4.7 65 W Jan 12, 2021 OEM
PRO 5945 Sep 2022[14]
Ryzen 7 5800X3D 8 (16) 3.4 4.5 96 MB 105 W 1 × CCD
1 × I/OD
1 × 8 Apr 20, 2022 US $449
5800XT Wraith Prism 3.8 4.8 32 MB Jul 31, 2024 US $249
5800X 4.7 Nov 5, 2020 US $449
5800 3.4 4.6 65 W Jan 12, 2021 OEM
5700X3D 3.0 4.1 96 MB 105 W Jan 31, 2024[15] US $249
5700X 3.4 4.6 32 MB 65 W Apr 4, 2022 US $299
PRO 5845 Sep 2022 OEM
Ryzen 5 5600X3D 6 (12) 3.3 4.4 96 MB 105 W 1 × 6 Jul 7, 2023
US Only[16]
US $229[17]
5600X Wraith Stealth 3.7 4.6 32 MB 65 W Nov 5, 2020 US $299
5600 3.5 4.4 Apr 4, 2022 US $199
PRO 5645 3.7 4.6 Sep 2022 OEM
  1. ^ Core Complexes (CCX) × cores per CCX

Desktop APUs

Cezanne

Common features of Ryzen 5000 desktop APUs:

  • Socket: AM4.
  • All the CPUs support DDR4-3200 in dual-channel mode.
  • L1 cache: 64 KB (32 KB data + 32 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • All the CPUs support 24 PCIe 3.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • Includes integrated GCN 5th generation GPU.
  • Fabrication process: TSMC 7FF.
Branding and model CPU GPU[a] Thermal
solution
TDP Release
date
MSRP
Cores
(threads)
Clock rate (GHz) L3 cache
(total)
Core
config[i]
Clock
(MHz)
Config[ii] Processing
power[iii]
(GFLOPS)
Base Boost
Ryzen 7 5700G[b] 8 (16) 3.8 4.6 16 MB 1 × 8 2000 512:32:8
8 CU
2048 Wraith Stealth 65 W Apr 13, 2021 (OEM),
Aug 5, 2021 (retail)
US $359
5700GE[b] 3.2 35 W Apr 13, 2021 OEM
Ryzen 5 5600GT 6 (12) 3.6 1 × 6 1900 448:28:8
7 CU
1702.4 65 W Jan 31, 2024[18] US $140
5600G[b] 3.9 4.4 Apr 13, 2021 (OEM),
Aug 5, 2021 (retail)
US $259
5600GE[b] 3.4 35 W Apr 13, 2021 OEM
5500GT 3.6 65 W Jan 31, 2024[18] US $125
Ryzen 3 5300G[b] 4 (8) 4.0 4.2 8 MB 1 × 4 1700 384:24:8
6 CU
1305.6 OEM Apr 13, 2021 OEM
5300GE[b] 3.6 35 W
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Unified shaders : texture mapping units : render output units and compute units (CU)
  3. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. ^ All of the iGPUs are branded as AMD Radeon Graphics.
  2. ^ a b c d e f Model also available as PRO version as 5350GE,[19] 5350G,[20] 5650GE,[21] 5650G,[22] 5750GE,[23] 5750G,[24] released June 1, 2021.[25]

Mobile APUs

Cezanne

Common features of Ryzen 5000 notebook APUs:

Branding and model CPU GPU TDP Release
date
Cores
(threads)
Clock rate (GHz) L3 cache
(total)
Core
config[i]
Model Clock
(GHz)
Config[ii] Processing
power
(GFLOPS)[iii]
Base Boost
Ryzen 9 5980HX 8 (16) 3.3 4.8 16 MB 1 × 8 Radeon
Graphics[a]
2.1 512:32:8
8 CUs
2150.4 35–54 W Jan 12, 2021
5980HS 3.0 35 W
5900HX 3.3 4.6 35–54 W
5900HS 3.0 35 W
Ryzen 7 5800H[26] 3.2 4.4 2.0 2048 35–54 W
5800HS 2.8 35 W
5825U[b][c] 2.0 4.5 15 W Jan 4, 2022
5800U[b] 1.9 4.4 10–25 W Jan 12, 2021
Ryzen 5 5600H[36] 6 (12) 3.3 4.2 1 × 6 1.8 448:28:8
7 CUs
1612.8 35–54 W
5600HS 3.0 35 W
5625U[b][c] 2.3 4.3 15 W Jan 4, 2022
5600U[b] 4.2 10–25 W Jan 12, 2021
5560U 4.0 8 MB 1.6 384:24:8
6 CUs
1228.8
5500H 4 (8) 3.3 4.2 1 × 4 1.8 1382.4 35–54 W Jun 23, 2023
Ryzen 3 5425U[b][c] 2.3 4.3 1.6 1228.8 15 W Jan 4, 2022
5400U[b][37] 2.7 4.1 10–25 W Jan 12, 2021
5125C 2 (4) 3.0 1 × 2 1.2 192:12:8
3 CUs
460.8 15 W May 5, 2022
  1. ^ Core Complexes (CCX) × cores per CCX
  2. ^ Unified shaders : texture mapping units : render output units and compute units (CU)
  3. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.
  1. ^ All of the iGPUs are branded as AMD Radeon Graphics.
  2. ^ a b c d e f Model also available as PRO version as 5450U,[27] 5650U,[28] 5850U,[29] released on March 16, 2021 and as 5475U,[30] 5675U,[31] 5875U,[32] released on April 19, 2022.
  3. ^ a b c Model also available as Chromebook optimized version as 5425C,[33] 5625C,[34] 5825C,[35] released on May 5, 2022.

Epyc microprocessors

The Epyc server line of chips based on Zen 3 is to be named Milan and will be the final generation of chips using the SP3 socket.[4] Epyc Milan is set to be revealed on March 15, 2021.[38]

Model Price
(USD)
Fab Chiplets Cores
(threads)
Core
config[i]
Clock rate (GHz) Cache Socket
&
Scaling
TDP
Base Boost L1 L2 L3
7773X $8800 TSMC
7FF
8 × CCD
1 × I/OD
64 (128) 8 × 8 2.20 3.50 32 KB inst.
32 KB data
(per core)
512 KB
(per core)
768 MB
(96 MB per CCX)
SP3
(up to) 2P
280 W
7763 $7890 2.45 3.40 256 MB
32 MB per CCX
280 W
7713 $7060 2.00 3.675 225 W
7713P $5010 SP3
1P
7663 $6366 56 (112) 8 × 7 2.00 3.50 SP3
(up to) 2P
240 W
7663P $3139 SP3
1P
7643 $4995 48 (96) 8 × 6 2.30 3.60 SP3
(up to) 2P
225 W
7643P $2722 SP3
1P
7573X $5590 32 (64) 8 × 4 2.80 3.60 768 MB
(96 MB per CCX)
SP3
(up to) 2P
280 W
75F3 $4860 2.95 4.00 256 MB
(32 MB per CCX)
7543 $3761 2.80 3.70 225 W
7543P $2730 256 MB
(32 MB per CCX)
SP3
1P
7513 $2840 2.60 3.65 128 MB
(16 MB per CCX)
SP3
(up to) 2P
200 W
7453 $1570 4 × CCD
1 × I/OD
28 (56) 4 × 7 2.75 3.45 64 MB
(16 MB per CCX)
225 W
7473X $3900 8 × CCD
1 × I/OD
24 (48) 8 × 3 2.80 3.70 768 MB
(96 MB per CCX)
240 W
74F3 $2900 3.20 4.00 256 MB
(32 MB per CCX)
7443 $2010 4 × CCD
1 × I/OD
4 × 6 2.85 4.00 128 MB
(32 MB per CCX)
200 W
7443P $1337 SP3
1P
7413 $1825 2.65 3.60 SP3
(up to) 2P
180 W
7373X $4185 8 × CCD
1 × I/OD
16 (32) 8 × 2 3.05 3.80 768 MB
(96 MB per CCX)
240 W
73F3 $3521 3.50 4.00 256 MB
(32 MB per CCX)
7343 $1565 4 × CCD
1 × I/OD
4 × 4 3.20 3.90 128 MB
(32 MB per CCX)
190 W
7313 $1083 3.00 3.70 155 W
7313P $913 SP3
1P
7303 $604 2 × CCD
1 × I/OD
2 x 8 2.40 3.40 64 MB
(32 MB per CCX)
SP3
(up to) 2P
130 W
7303P $594 SP3
1P
72F3 $2468 8 × CCD
1 × I/OD
8 (16) 8 × 1 3.70 4.10 256 MB
(32 MB per CCX)
SP3
(up to) 2P
180 W
7203 $348 2 × CCD
1 × I/OD
2 x 4 2.80 3.40 64 MB
(32 MB per CCX)
120 W
7203P $338 SP3

1P

  1. ^ Core Complexes (CCX) × cores per CCX

References

  1. ^ a b c Su, Lisa (October 8, 2020). Where Gaming Begins, AMD Ryzen™ Desktop Processors.
  2. ^ a b c d Cutress, Ian; Frumusanu, Andrei (November 5, 2020). "AMD Zen 3 Ryzen Deep Dive Review: 5950X, 5900X, 5800X and 5600X Tested". www.anandtech.com. Retrieved December 7, 2020.
  3. ^ a b c Joel Hruska (January 10, 2020). "AMD's Lisa Su Confirms Zen 3 Coming in 2020, Talks Challenges in Notebooks". ExtremeTech.
  4. ^ a b c Alcorn, Paul (October 5, 2019). "AMD dishes on Zen 3 and Zen 4 architecture, Milan and Genoa roadmap". Tom's Hardware. Retrieved October 5, 2019.
  5. ^ Cite error: The named reference AMD Ryzen™ 7 5800H was invoked but never defined (see the help page).
  6. ^ Dr. Ian Cutress (October 9, 2020). "AMD Ryzen 5000 and Zen 3 on Nov 5th: +19% IPC, Claims Best Gaming CPU". AnandTech.
  7. ^ Mark Knapp (October 8, 2020). "AMD Zen 3 release date, specs and price: everything we know about AMD Ryzen 5000". TechRadar.
  8. ^ Hruska, Joel (May 20, 2020). "AMD Will Support Zen 3, Ryzen 4000 CPUs on X470, B450 Motherboards". ExtremeTech. Retrieved May 20, 2020.
  9. ^ a b "AMD "Zen 3" Core Architecture". AMD. Retrieved November 8, 2020.
  10. ^ Alcorn, Paul (November 6, 2020). "AMD Zen 3 Ryzen 5000 Price, Specs, Release Date, Performance, All We Know". Tom's Hardware. Retrieved November 8, 2020.
  11. ^ "AMD Smart Access Memory". AMD. Retrieved November 8, 2020.
  12. ^ https://www.pcgamer.com/amd-smart-access-memory-not-proprietary-promise
  13. ^ Alcorn, Paul (November 26, 2020). "AMD Ryzen 9 5950X and 5900X Review: Zen 3 Breaks the 5 GHz Barrier". Tom's Hardware. Retrieved December 25, 2020.
  14. ^ Shvets, Gennadiy (September 23, 2022). "New AMD Ryzen PRO processors released". CPU-World. Retrieved June 30, 2023.
  15. ^ Wallossek, Igor (January 8, 2024). "CES: And it goes on - even more Ryzen 5000 CPUs for the AM4 socket". igor´sLAB. Retrieved January 9, 2024.
  16. ^ Ganti, Anil (July 1, 2023). "AMD Ryzen 5 5600X3D price and availability officially confirmed". NotebookCheck.net. Retrieved July 1, 2023.
  17. ^ Alcorn, Paul (June 30, 2023). "AMD Ryzen 5 5600X3D to Launch July 7th for $229 at Micro Center Only". Tom's Hardware. Retrieved June 30, 2023.
  18. ^ a b Wallossek, Igor (January 8, 2024). "CES: And it goes on - even more Ryzen 5000 CPUs for the AM4 socket". igor´sLAB. Retrieved January 9, 2024.
  19. ^ "AMD Ryzen 3 PRO 5350GE". AMD.
  20. ^ "AMD Ryzen 3 PRO 5350G". AMD.
  21. ^ "AMD Ryzen 5 PRO 5650GE". AMD.
  22. ^ "AMD Ryzen 5 PRO 5650G". AMD.
  23. ^ "AMD Ryzen 7 PRO 5750GE". AMD.
  24. ^ "AMD Ryzen 7 PRO 5750G". AMD.
  25. ^ btarunr (June 1, 2021). "AMD Announces Ryzen 5000G and PRO 5000G Desktop Processors". TechPowerUp.
  26. ^ "AMD Ryzen 7 5800H Specs". TechPowerUp. Retrieved September 17, 2021.
  27. ^ "AMD Ryzen 3 PRO 5450U". AMD.
  28. ^ "AMD Ryzen 5 PRO 5650U". AMD.
  29. ^ "AMD Ryzen 7 PRO 5850U". AMD.
  30. ^ "AMD Ryzen 3 PRO 5475U". AMD.
  31. ^ "AMD Ryzen 5 PRO 5675U". AMD.
  32. ^ "AMD Ryzen 7 PRO 5875U". AMD.
  33. ^ "AMD Ryzen 3 5425C". AMD.
  34. ^ "AMD Ryzen 5 5625C". AMD.
  35. ^ "AMD Ryzen 7 5825C". AMD.
  36. ^ "AMD Ryzen 5 5600H Mobile processor - 100-000000296". CPU-World. Retrieved September 17, 2021.
  37. ^ "AMD Ryzen 3 5400U Mobile processor - 100-000000288". CPU-World. Retrieved September 17, 2021.
  38. ^ Robinson, Cliff (March 8, 2021). "AMD EPYC 7003 Date Set for Milan". ServeTheHome. Retrieved March 12, 2021.