Jump to content

Zen (first generation)

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by RAMChYLD (talk | contribs) at 08:30, 25 September 2018 (Essential CPUs start at 2 cores(Athlon series)). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

AMD Zen
Logo for the Zen microarchitecture
General information
LaunchedQ1 2017[1]2012–2017
Designed byAMD
Common manufacturers
CPUID codeFamily 17h
Cache
L1 cache64 KiB instruction, 32 KiB data per core
L2 cache512 KiB per core
L3 cache8 MiB per quad-core CCX
Architecture and classification
Instruction setAMD64 (x86-64)
Physical specifications
Transistors
Cores
    • 2–4 (essential)
    • 4–8 (mainstream)
    • 8–16 (enthusiast)[1][4][5][6]
    • Up to 32 (server)[1][7]
Sockets
Products, models, variants
Product code names
  • Summit Ridge (Desktop)
  • Whitehaven (HEDT)
  • Raven Ridge (APU/Embedded)
  • Naples (Server CPU)
  • Snowy Owl (Server APU)[9]
Brand name
History
PredecessorExcavator (4th gen)
SuccessorZen+
A highly simplified illustration of the Zen microarchitecture: a core has a total of 512 KiB of L2 cache.

Zen is the codename for a computer processor microarchitecture from AMD, and was first used with their Ryzen series of CPUs in February 2017.[1][10] The first Zen-based preview system was demonstrated at E3 2016, and first substantially detailed at an event hosted a block away from the Intel Developer Forum 2016. The first Zen-based CPUs codenamed "Summit Ridge" reached the market in early March 2017, Zen-derived Epyc server processors launched in June 2017[11] and Zen-based APUs arrived in November 2017.[12]

Zen is a clean sheet design that differs from the long-standing Bulldozer architecture. Zen-based processors use a 14 nm FinFET process, are reportedly more energy efficient, and can execute significantly more instructions per cycle. SMT has been introduced, allowing each core to run two threads. The cache system has also been redesigned, making the L1 cache write-back. Zen processors use three different sockets: desktop and mobile Ryzen chips use the AM4 socket, bringing DDR4 support; the high-end desktop Zen-based Threadripper chips support quad-channel DDR4 RAM and offer 64 PCIe 3.0 lanes (vs 24 lanes), using the TR4 socket;[13][14] and Epyc server processors offer 128 PCI 3.0 lanes and octal-channel DDR4 using the SP3 socket.

Zen is based on a SoC design.[15] The memory, PCIe, SATA, and USB controllers are incorporated into the same chip as the processor cores. This has advantages in bandwidth and power, at the expense of chip complexity and die area.[16] This SoC design allows the Zen microarchitecture to scale from laptops and small-form factor mini PCs to high-end desktops and servers.

Design

According to AMD, the main focus of Zen is on increasing per-core performance.[17][18][19] New or improved features include[20]:

  • The L1 cache has been changed from write-through to write-back, allowing for lower latency and higher bandwidth.
  • SMT (simultaneous multithreading) architecture allows for two threads per core, a departure from the CMT (clustered multi-thread) design used in the previous Bulldozer architecture. This is a feature previously offered in some IBM, Intel and Oracle processors.[21]
  • A fundamental building block for all Zen-based CPUs is the Core Complex (CCX) consisting of four cores and their associated caches. Processors with more than four cores consist of multiple CCXs connected by Infinity Fabric.[22]
  • Four ALUs, two AGUs/load–store units, and two floating-point units per core.[23]
  • Newly introduced "large" micro-operation cache.[24]
  • Each SMT core can dispatch up to six micro-ops per cycle (a combination of 6 integer micro-ops and 4 floating point micro-ops per cycle).[25][26]
  • Close to 2× faster L1 and L2 bandwidth, with total L3 cache bandwidth up 5×.
  • Clock gating.
  • Larger retire, load, and store queues.
  • Improved branch prediction using a hashed perceptron system with Indirect Target Array similar to the Bobcat microarchitecture,[27] something that has been compared to a neural network by AMD engineer Mike Clark.[28]
  • The branch predictor is decoupled from the fetch stage.
  • A dedicated stack engine for modifying the stack pointer, similar to that of Intel Haswell and Broadwell processors.[29]
  • Move elimination, a method that reduces physical data movement to reduce power consumption.
  • RDSEED support, a set of high-performance hardware random number generator instructions introduced in Intel's Broadwell microarchitecture.[30]
  • Support for the SMAP, SMEP, XSAVEC/XSAVES/XRSTORS, XSAVES, CLFLUSHOPT, and CLZERO instructions.[30]
  • AVX2 support.
  • ADX support.
  • SHA support.
  • PTE (page table entry) coalescing, which combines 4 kiB page tables into 32 kiB page size.
  • "Pure Power" (more accurate power monitoring sensors).[31]
  • Smart Prefetch.
  • Precision Boost.
  • eXtended Frequency Range (XFR), an automated overclocking feature which boosts clock speeds beyond the advertised turbo frequency.[32]

This is the first time in a very long time that we engineers have been given the total freedom to build a processor from scratch and do the best we can do. It is a multi-year project with a really large team. It's like a marathon effort with some sprints in the middle. The team is working very hard, but they can see the finish line. I guarantee that it will deliver a huge improvement in performance and power consumption over the previous generation.

— Suzanne Plummer, Zen team leader, on September 19th, 2015.[33]

The Zen architecture is built on a 14 nanometer FinFET process subcontracted to GlobalFoundries,[34] giving greater efficiency than the 32 nm and 28 nm processes of previous AMD FX CPUs and AMD APUs, respectively.[35] The "Summit Ridge" Zen family of CPUs use the AM4 socket and feature DDR4 support and a 95 W TDP (thermal design power).[35] While newer roadmaps don't confirm the TDP for desktop products, they suggest a range for low-power mobile products with up to two Zen cores from 5 to 15 W and 15 to 35 W for performance-oriented mobile products with up to four Zen cores.[36]

Each Zen core can decode four instructions per clock cycle and includes a micro-op cache which feeds two schedulers, one each for the integer and floating point segments.[37][38] Each core has two address generation units, four integer units, and four floating point units. Two of the floating point units are adders, and two are multiply-adders. However, using multiply-add-operations may prevent simultaneous add operation in one of the adder units.[39] There are also improvements in the branch predictor. The L1 cache size is 64 KiB for instructions per core and 32 KiB for data per core. The L2 cache size 512 KiB per core, and the L3 is 1–2 MB per core. L3 caches offer 5× the bandwidth of previous AMD designs.

History and development

AMD began planning the Zen microarchitecture shortly after re-hiring Jim Keller in August 2012.[40] AMD formally revealed Zen in 2015.

The team in charge of Zen was led by Keller (who left in September 2015 after a 3-year tenure)[41] and AMD Senior Fellow and Chief Architect Michael Clark.[42][43][44]

Zen was originally planned for 2017 following the ARM64-based K12 sister core, but on AMD's 2015 Financial Analyst Day it was revealed that K12 was delayed in favor of the Zen design, to allow it to enter the market within the 2016 timeframe,[8] with the release of the first Zen-based processors expected for October 2016.[45]

In November 2015, a source inside AMD reported that Zen microprocessors had been tested and "met all expectations" with "no significant bottlenecks found".[2][46]

In December 2015, it was rumored that Samsung may be contracted as a fabricator for AMD's 14 nm FinFET processors, including both Zen and AMD's then-upcoming Polaris GPU architecture.[47] This was clarified by AMD's July 2016 announcement that products had been successfully produced on Samsung's 14 nm FinFET process.[48] AMD stated Samsung would be used "if needed", arguing this would reduce risk for AMD by decreasing dependence on any one foundry.

Advantages over predecessors

Zen's from-scratch design is notably different from its predecessors, with many different types of changes and enhancements being made across the board in hopes of making Zen more competitive with Intel's architectures, and the software most often built with Intel's processor features in mind.[citation needed]

Manufacturing process

Processors based on Zen use 14 nm FinFET silicon.[49] These processors are being produced at GlobalFoundries,[50] though reports state some Zen processors may also be produced at TSMC.[51] Prior to Zen, AMD's smallest process size was 28 nm, as utilized by their Steamroller and Excavator microarchitectures.[52][53] The immediate competition, Intel's Skylake and Kaby Lake microarchitecture, are also fabricated on 14 nm FinFET;[54] though Intel planned to begin the release of 10 nm parts later in 2017.[55] In comparison to Intel's 14 nm FinFET, AMD claimed in February 2017 the Zen cores would be 10% smaller.[56] Intel has later announced in July 2018 that 10nm mainstream processors should not be expected before the second half of 2019.[57]

For identical designs, these die shrinks would use less current (and power) at the same frequency (or voltage). As CPUs are usually power limited (typically up to ~125 W, or ~45 W for mobile), smaller transistors allow for either lower power at the same frequency, or higher frequency at the same power.[58]

Performance

One of Zen's major goals is to focus on performance per-core, and it is targeting a 40% improvement in instructions per cycle (IPC) over its predecessor.[59] Excavator, in comparison, offered 4–15% improvement over previous architectures.[60][61] AMD announced the final Zen microarchitecture actually achieved 52% improvement in IPC over Excavator.[62] The inclusion of SMT also allows each core to process up to two threads, increasing processing throughput by better use of available resources.

The Zen processors also employ sensors across the chip to dynamically scale frequency and voltage.[63] This allows for the maximum frequency to be dynamically and automatically defined by the processor itself based upon available cooling.

AMD has demonstrated an 8-core/16-thread Zen processor outperforming an equally-clocked Intel Broadwell-E processor in Blender rendering[1][9] and HandBrake benchmarks.[63]

Zen supports AVX2 but it requires two clock cycles to complete each AVX2 instruction compared to Intel's one.[64][65]

Memory

Zen supports DDR4 memory (up to eight channels)[66] and ECC.[67]

Pre-release reports stated APUs using the Zen architecture would also support High Bandwidth Memory (HBM).[68] However, the first demonstrated APU did not use HBM.[69] Previous APUs from AMD relied on shared memory for both the GPU and the CPU.

Power consumption and heat output

Processors built at the 14 nm node on FinFET silicon should show reduced power consumption and therefore heat over their 28 nm and 32 nm non-FinFET predecessors (for equivalent designs), or be more computationally powerful at equivalent heat output/power consumption.

Zen is also expected to use clock gating,[38] reducing the frequency of underutilized portions of the core to save power. This will be through AMD's SenseMI technology, using sensors across the chip to dynamically scale frequency and voltage.[63]

Enhanced security and virtualization support

Zen added support for AMD's Secure Memory Encryption (SME) and AMD's Secure Encrypted Virtualization (SEV). Secure Memory Encryption is real-time memory encryption done per page table entry. Encryption occurs on a hardware AES engine and keys are managed by the onboard "Security" Processor (ARM Cortex-A5) at boot time to encrypt each page, allowing any DDR4 memory (including non-volatile varieties) to be encrypted. AMD SME also makes the contents of the memory more resistant to memory snooping and cold boot attacks.[70][71]

SME can be used to mark individual pages of memory as encrypted through the page tables. A page of memory that is marked encrypted will be automatically decrypted when read from DRAM and will be automatically encrypted when written to DRAM. The SME feature is identified through a CPUID function and enabled through the SYSCFG MSR. Once enabled, page table entries will determine how the memory is accessed. If a page table entry has the memory encryption mask set, then that memory will be accessed as encrypted memory. The memory encryption mask (as well as other related information) is determined from settings returned through the same CPUID function that identifies the presence of the feature.

[72]

The Secure Encrypted Virtualization (SEV) feature allows the memory contents of a virtual machine (VM) to be transparently encrypted with a key unique to the guest VM. The memory controller contains a high-performance encryption engine which can be programmed with multiple keys for use by different VMs in the system. The programming and management of these keys is handled by the AMD Secure Processor firmware which exposes an API for these tasks.[73]

Connectivity

Incorporating much of the southbridge into the SoC, the Zen CPU includes SATA, USB, and PCI Express NVMe links.[74][75] This can be augmented by available Socket AM4 chipsets which add connectivity options including additional SATA and USB connections, and support for AMD's Crossfire and Nvidia's SLI.[76]

AMD, in announcing its Radeon Instinct line, argued that the upcoming Zen-based Naples server CPU would be particularly suited for building deep learning systems.[77][78] The expected 64[citation needed] PCIe lanes per Naples CPU allows for four Instinct cards to connect at PCIe x16 to a single CPU. This compares favorably to the Intel Xeon line, with only 40[citation needed] PCIe lanes.

Products

The Zen architecture is used in the current-generation desktop Ryzen CPUs. It is also in Epyc server processors (successor of Opteron processors), and APUs.[68][unreliable source][79][80]

The first desktop processors without graphics processing units (codenamed "Summit Ridge") were initially expected to start selling at the end of 2016, according to an AMD roadmap; with the first mobile and desktop processors of the AMD Accelerated Processing Unit type (codenamed "Raven Ridge") following in late 2017.[81] AMD officially delayed Zen until Q1 of 2017. In August 2016, an early demonstration of the architecture showed an 8-core/16-thread engineering sample CPU at 3.0 GHz.[9]

In December 2016, AMD officially announced the desktop CPU line under the Ryzen brand for release in Q1 2017. It also confirmed Server processors would be released in Q2 2017, and mobile APUs in H2 2017.[82]

On March 2, 2017, AMD officially launched the first Zen architecture-based octacore Ryzen desktop CPUs. The final clock speeds and TDPs for the 3 CPUs released in Q1 of 2017 demonstrated significant performance-per-watt benefits over the previous K15h (Piledriver) architecture.[83][84] The octacore Ryzen desktop CPUs demonstrated performance-per-watt comparable to Intel's Broadwell octacore CPUs.[85][86]

In March 2017, AMD also demonstrated an engineering sample of a server CPU based on the Zen architecture. The CPU (codenamed "Naples") was configured as a dual-socket server platform with each CPU having 32 cores/64 threads.[1][9]

Desktop processors

First Generation of Ryzen processors (Ryzen 1000 series):

Common features of Ryzen 1000 desktop CPUs:

  • Socket: AM4.
  • All the CPUs support DDR4-2666 in dual-channel mode.
  • All the CPUs support 24 PCIe 3.0 lanes. 4 of the lanes are reserved as link to the chipset.
  • No integrated graphics.
  • L1 cache: 96 KB (32 KB data + 64 KB instruction) per core.
  • L2 cache: 512 KB per core.
  • Node/fabrication process: GlobalFoundries 14 LP.
Branding and Model Cores
(threads)
Clock rate (GHz) L3 cache
(total)
TDP Core
config[i]
Release
date
Launch
price[a]
Base PBO
1–2
(≥3)
XFR[87]
1–2
Ryzen 7 1800X[88] 8 (16) 3.6 4.0
(3.7)
4.1 16 MB 95 W 2 × 4 March 2, 2017 US $499
PRO 1700X 3.4 3.8
(3.5)
3.9 June 29, 2017 OEM
1700X[88] March 2, 2017 US $399
PRO 1700 3.0 3.7
(3.2)
3.75 65 W June 29, 2017 OEM
1700[88] March 2, 2017 US $329
Ryzen 5 1600X[89] 6 (12) 3.6 4.0
(3.7)
4.1 95 W 2 × 3 April 11, 2017 US $249
PRO 1600 3.2 3.6
(3.4)
3.7 65 W June 29, 2017 OEM
1600[89] April 11, 2017 US $219
1500X[89] 4 (8) 3.5 3.7
(3.6)
3.9 2 × 2 US $189
PRO 1500 June 29, 2017 OEM
1400[89] 3.2 3.4
(3.4)
3.45 8 MB April 11, 2017 US $169
Ryzen 3 1300X[90] 4 (4) 3.5 3.7
(3.5)
3.9 July 27, 2017 US $129
PRO 1300 June 29, 2017 OEM
PRO 1200 3.1 3.4
(3.1)
3.45
1200[90] July 27, 2017 US $109
  1. ^ Core Complexes (CCX) × cores per CCX


Mobile APUs

Model Release
date
Fab CPU GPU Socket PCIe
lanes
Memory
support
TDP
Cores
(threads)
Clock rate (GHz) Cache Model Config[i] Clock
(MHz)
Processing
power
(GFLOPS)[ii]
Base Boost L1 L2 L3
Athlon Pro 200U 2019 GloFo
14LP
2 (4) 2.3 3.2 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB Radeon Vega 3 192:12:4
3 CU
1000 384 FP5 12 (8+4) DDR4-2400
dual-channel
12–25 W
Athlon 300U Jan 6, 2019 2.4 3.3
Ryzen 3 2200U Jan 8, 2018 2.5 3.4 1100 422.4
Ryzen 3 3200U Jan 6, 2019 2.6 3.5 1200 460.8
Ryzen 3 2300U Jan 8, 2018 4 (4) 2.0 3.4 Radeon Vega 6 384:24:8
6 CU
1100 844.8
Ryzen 3 Pro 2300U May 15, 2018
Ryzen 5 2500U Oct 26, 2017 4 (8) 3.6 Radeon Vega 8 512:32:16
8 CU
1126.4
Ryzen 5 Pro 2500U May 15, 2018
Ryzen 5 2600H Sep 10, 2018 3.2 DDR4-3200
dual-channel
35–54 W
Ryzen 7 2700U Oct 26, 2017 2.2 3.8 Radeon RX Vega 10 640:40:16
10 CU
1300 1664 DDR4-2400
dual-channel
12–25 W
Ryzen 7 Pro 2700U May 15, 2018 Radeon Vega 10
Ryzen 7 2800H Sep 10, 2018 3.3 Radeon RX Vega 11 704:44:16
11 CU
1830.4 DDR4-3200
dual-channel
35–54 W
  1. ^ Unified shaders : Texture mapping units : Render output units and Compute units (CU)
  2. ^ Single precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Desktop APUs

Model Release date
& price
Fab Thermal Solution CPU GPU Socket PCIe lanes DDR4
memory
support
TDP
(W)
Cores
(threads)
Clock rate (GHz) Cache Model Config[i] Clock
(GHz)
Processing
power
(GFLOPS)[ii]
Base Boost L1 L2 L3
Athlon 200GE[91] September 6, 2018
US $55
GloFo
14LP
AMD 65W thermal solution 2 (4) 3.2 64 KB inst.
32 KB data
per core
512 KB
per core
4 MB Vega 3 192:12:4
3 CU
1.0 384 AM4 16 (8+4+4) 2667
dual-channel
35
Athlon Pro 200GE[92] September 6, 2018
OEM
OEM
Athlon 220GE[93] December 21, 2018
US $65
AMD 65W thermal solution 3.4
Athlon 240GE[94] December 21, 2018
US $75
3.5
Athlon 3000G[95] November 19, 2019
US $49
1.1 424.4
Athlon 300GE[96] July 7, 2019
OEM
OEM 3.4
Athlon Silver 3050GE[97] July 21, 2020
OEM
Ryzen 3 Pro 2100GE[98] c. 2019

OEM

3.2 ? ? 2933
dual-channel
Ryzen 3 2200GE[99] April 19, 2018
OEM
4 (4) 3.2 3.6 Vega 8 512:32:16
8 CU
1126
Ryzen 3 Pro 2200GE[100] May 10, 2018
OEM
Ryzen 3 2200G February 12, 2018
US $99
Wraith Stealth 3.5 3.7 45–
65
Ryzen 3 Pro 2200G[101] May 10, 2018
OEM
OEM
Ryzen 5 2400GE[102] April 19, 2018
OEM
4 (8) 3.2 3.8 RX Vega 11 704:44:16
11 CU
1.25 1760 35
Ryzen 5 Pro 2400GE[103] May 10, 2018
OEM
Ryzen 5 2400G[104] February 12, 2018[105][106]
US $169
Wraith Stealth 3.6 3.9 45–
65
Ryzen 5 Pro 2400G[107] May 10, 2018
OEM
OEM
  1. ^ Unified Shaders : Texture Mapping Units : Render Output Units and Compute Units (CU)
  2. ^ Single-precision performance is calculated from the base (or boost) core clock speed based on a FMA operation.

Server processors

AMD announced in March 2017 that it will release a server platform based on Zen, codenamed Naples, in the second quarter of the year. The platform will include 1- and 2-socket systems. The CPUs in multi-processor configurations will communicate via AMD's Infinity Fabric.[108] Each chip supports eight channels of memory and 128 PCIe 3.0 lanes, of which 64 lanes will be used for CPU-to-CPU communication through Infinity Fabric when installed in a dual-processor configuration.[109] AMD officially revealed Naples under the brand name Epyc in May 2017.[110]

On June 20, 2017, AMD officially released the Epyc 7000 series CPUs at a launch event in Austin, Texas.[111] Common features:

Model[i] Cores
(threads)
Chiplets Core
config[ii]
Clock rate (GHz) Cache TDP Release Embedded
options[iii]
Base Boost L2
(per core)
L3
(per CCX)
Total Date Price
(USD)
All–core Max
7251[113][114] 8 (16) 4[112] 8 × 1 2.1 2.9 2.9 512 KiB 4 MiB 36 MiB 120 W Jun 2017[115] $475 Yes
7261[113][116] 2.5 8 MiB 68 MiB 155/170 W Jun 2018[117] $570 Yes
7281[113][114] 16 (32) 8 × 2 2.1 2.7 2.7 4 MiB 40 MiB 155/170 W Jun 2017[115] $650 Yes
7301[113][114] 2.2 8 MiB 72 MiB $800 Yes
7351P[113][114] 2.4 2.9 2.9 $750 735P
7351[113][114] $1,100 Yes
7371[113][118] 3.1 3.6 3.8 200 W Nov 2018[119] $1,550 Yes
7401P[113][114] 24 (48) 8 × 3 2.0 2.8 3.0 8 MiB 76 MiB 155/170 W Jun 2017[115] $1,075 740P
7401[113][114] $1,850 Yes
7451[113][114] 2.3 2.9 3.2 180 W $2,400 Yes
7501[113][114] 32 (64) 8 × 4 2.0 2.6 3.0 8 MiB 80 MiB 155/170 W $3,400 Yes
7551P[113][114] 2.55 180 W $2,100 755P
7551[113][114] $3,400 Yes
7571[120][121] 2.2 3.0 200 W Nov 2018 OEM/AWS --
7601[113][114] 2.7 3.2 180 W Jun 2017[115] $4,200 Yes
  1. ^ Models with "P" suffixes are uniprocessors, only available as single socket configuration.
  2. ^ Core Complexes (CCX) × cores per CCX
  3. ^ Epyc embedded 7001 series models have identical specifications as Epyc 7001 series.

See also

References

  1. ^ a b c d e f Anthony, Sebastian (18 August 2016). "AMD says Zen CPU will outperform Intel Broadwell-E, delays release to 2017". Ars Technica. Retrieved 18 August 2016.
  2. ^ a b c "GlobalFoundries announces 14nm validation with AMD Zen silicon". ExtremeTech.
  3. ^ Juyeop Han. "Samsung Electronics Does Toll Manufacturing for AMD's Next Chip". etnews.
  4. ^ "Details of AMD Zen 16-core x86 APU emerge".
  5. ^ "AMD Zen-based 8-core Desktop CPU Arrives in 2016, on Socket FM3". TechPowerUp.
  6. ^ Kampman, Jeff (16 May 2017). "Ryzen Threadripper CPUs will offer 16 cores and 32 threads". Tech Report. Retrieved 16 May 2017.
  7. ^ Kennedy, Patrick (16 May 2017). "AMD EPYC New Details on the Emerging Server Platform". Serve the Home. Retrieved 16 May 2017.
  8. ^ a b Ryan Smith (6 May 2015). "AMD's 2016-2017 x86 Roadmap: Zen Is In, Skybridge Is Out". AnandTech.
  9. ^ a b c d Kampman, Jeff (18 August 2016). "AMD gives us our first real moment of Zen". Tech Report. Retrieved 18 August 2016.
  10. ^ Linder, Brad (1 February 2017). "AMD Ryzen chips coming in March, followed by Vega GPU in Q2, 2017". Liliputing. Retrieved 1 February 2017.
  11. ^ Cutress, Ian. "AMD's Future in Servers: New 7000-Series CPUs Launched and Epyc Analysis". AnandTech. Retrieved 8 August 2017.
  12. ^ "HP ENVY x360 Convertible Laptop - 15z touch - HP® Official Store". store.hp.com.
  13. ^ Brad Chacos (8 January 2016). "AMD Zen-based CPUs and APUs will unify around Socket AM4". PCWorld.
  14. ^ "Ryzen™ Threadripper™ Processors | AMD". www.amd.com. Retrieved 2017-09-29.
  15. ^ "How AMD's powerful Zen chip flouts the SoC stereotype". PCWorld. Retrieved 2017-03-08.
  16. ^ Cutress, Ian (18 August 2016). "Early AMD Zen Server CPU and Motherboard Details". Anandtech. Retrieved 22 March 2017.
  17. ^ "Weekend tech reading: AMD 'Zen' and their return to high-end CPUs, tracking Windows pirates - TechSpot". techspot.com. Retrieved 2015-05-12.
  18. ^ "AMD: Zen chips headed to desktops, servers in 2016 - The Tech Report - Page 1". techreport.com. Retrieved 2015-05-12.
  19. ^ Anton Shilov (11 September 2014). "AMD: 'Bulldozer' was not a game-changer, but next-gen 'Zen' will be". KitGuru. Retrieved 1 February 2015.
  20. ^ Software Optimization Guide for AMD Family 17h Processors / AMD, June 2017
  21. ^ "AMD Zen Confirmed for 2016, Features 40% IPC Improvement Over Excavator".
  22. ^ Ian Cutress (2017-03-02). "The Core Complex, Caches, and Fabric". Retrieved 2017-06-21.
  23. ^ Clark, Mike. "A New x86 Core Architecture for the Next Generation of Computing" (PDF). AMD. p. 7. Archived from the original (PDF) on 2016-11-26. {{cite web}}: Unknown parameter |dead-url= ignored (|url-status= suggested) (help)
  24. ^ Cutress, Ian. "AMD Zen Microarchitecture: Dual Schedulers, Micro-Op Cache and Memory Hierarchy Revealed".
  25. ^ Mujtaba, Hassan. "AMD Opens The Lid on Zen Architectural Details at Hot Chips – Huge Performance Leap Over Excavator, Massive Throughput on 14nm FinFET Design". WCCFtech. Retrieved 23 August 2016.
  26. ^ Walrath, Josh. "AMD Zen Architecture Overview: Focus on Ryzen | PC Perspective". PC Perspective. Retrieved 13 March 2017.
  27. ^ Jiménez, Daniel. "Strided Sampling Hashed Perceptron Predictor" (PDF). Texas A&M University.
  28. ^ Williams, Chris. "'Neural network' spotted deep inside Samsung's Galaxy S7 silicon brain". The Register.
  29. ^ Fog, Agner. "The microarchitecture of Intel, AMD and VIA CPUs" (PDF). Technical University of Denmark.
  30. ^ a b "AMD Starts Linux Enablement On Next-Gen "Zen" Architecture". Phoronix. 17 March 2015. Retrieved 17 March 2015.
  31. ^ "AMD Takes Computing to a New Horizon with Ryzen™ Processors". www.amd.com.
  32. ^ Chen, Sam (24 June 2017). "XFR". Custom PC Review. Retrieved 26 July 2017.
  33. ^ Kirk Ladendorf - For the American-Statesman. "Amid challenges, chipmaker AMD sees a way forward".
  34. ^ Lilly, Paul (23 July 2016), "AMD Shipping Zen In Limited Quantity Q4, Volume Rollout Ramps Q1 2017", hothardware.com, Zen is being built on an advanced GlobalFoundries-sourced 14nm FinFET process
  35. ^ a b "14nm AMD Zen CPU Will Have DDR4 and Simultaneous Multithreading". Softpedia. 28 January 2015. Retrieved 31 January 2015.
  36. ^ "AMD's next gen CPU Zen". Shattered.Media. May 2015. Archived from the original on 2015-11-17.
  37. ^ "AMD's Zen core (family 17h) to have ten pipelines per core".
  38. ^ a b Cutress, Ian (18 August 2016). "AMD Zen Microarchitecture". Anandtech. Retrieved 18 August 2016.
  39. ^ AMD, "Software Optimization Guider for AMD Family 17h Processors"
  40. ^ Jim Keller On AMD's Next-Gen High Performance x86 Zen Core & K12 ARM Core. YouTube. 7 May 2014.
  41. ^ "Jim Keller Leaves AMD". Anand tech. Retrieved 2015-10-14.
  42. ^ Merritt, Rick (24 August 2016). "AMD Reveals Zen of X86". EE Times. Retrieved 3 March 2017.
  43. ^ TAKAHASHI, Dean (24 August 2016). "How AMD designed what could be its most competitive processors in a decade". VentureBeat. Retrieved 3 March 2017.
  44. ^ Wong, Adrian (18 April 2017). "Joe Macri : The Disruptive Nature of AMD Ryzen". TechArp. Retrieved 20 April 2017.
  45. ^ "AMD set to release first 'Zen'-based microprocessors in late 2016 – document". KitGuru.net. 12 June 2015. Retrieved 30 August 2015.
  46. ^ "OC3D :: Article :: AMD Tests Zen CPUs, "Met All Expectation" with no "Significant Bottlenecks" found :: AMD Tests Zen CPUs, Met All Expectation with no Significant Bottlenecks found".
  47. ^ "Samsung to fab AMD Zen & Arctic islands on its 14 nm Finfet node", Tech power up.
  48. ^ Moorhead, Patrick (25 July 2016). "AMD Officially Diversifies 14nm Manufacturing With Samsung". Forbes. Retrieved 26 July 2016.
  49. ^ "AMD's next-gen CPU leak: 14nm, simultaneous multithreading, and DDR4 support". ExtremeTech.
  50. ^ Rulison, Larry (22 August 2016). "Reports: Chip made by GlobalFoundries beats Intel". Times Union. Retrieved 22 August 2016.
  51. ^ Hruska, Joel (7 January 2016). "Confirmed: GlobalFoundries will manufacture AMD's mobile, low-power Polaris GPUs". ExtremeTech. Retrieved 22 August 2016.
  52. ^ "AMD: We have taped out our first FinFET products". KitGuru.
  53. ^ "CES: AMD finally unveils 28nm APU Kaveri to battle Intel Haswell". The Inquirer.
  54. ^ "Intel Kaby Lake to compete against AMD Zen at end of 2016". 2016-03-02. Retrieved 2016-03-07. Intel's Kaby Lake-series processors, which are scheduled to launch in the third quarter, but will not begin volume production until the end of 2016, while AMD is set to release its Zen architecture-based processors at the end of the fourth quarter.
  55. ^ Edward Jones (21 Oct 2016). "AMD Zen: A serious challenge to Intel?". Channel Pro.
  56. ^ Manion, Wayne (8 February 2017). "AMD touts Zen die size advantage at ISSCC". Tech Report. Retrieved 10 February 2017.
  57. ^ https://arstechnica.com/gadgets/2018/07/intel-says-not-to-expect-mainstream-10nm-chips-until-2h19/
  58. ^ "Intel's 'Tick-Tock' Seemingly Dead, Becomes 'Process-Architecture-Optimization'". Anandtech. Retrieved 23 March 2016.
  59. ^ Smith, Ryan (31 May 2016). "AMD Briefly Shows Off Zen "Summit Ridge" Silicon". Retrieved 7 June 2016.
  60. ^ "AMD Announces Zen, 40% IPC Improvement Over Excavator - Coming In 2016". 7 May 2015.
  61. ^ Ian Cutress (June 2, 2015). "IPC Increases: Double L1 Data Cache, Better Branch Prediction - AMD Launches Carrizo: The Laptop Leap of Efficiency and Architecture Updates". Anandtech.
  62. ^ Cutress, Ian (22 February 2017). "AMD Launches Zen". Anandtech.com. Retrieved 22 February 2017.
  63. ^ a b c Kampman, Jeff (13 December 2016). "AMD crests Summit Ridge with Ryzen CPUs". TechReport. Retrieved 13 December 2016.
  64. ^ Cutress, Ian. "AMD Zen Microarchiture Part 2: Extracting Instruction-Level Parallelism".
  65. ^ Leadbetter, Richard (22 February 2017). "In Theory: How AMD's Ryzen will disrupt the gaming CPU market".
  66. ^ "AMD's Zen processors to feature up to 32 cores, 8-channel DDR4". TechSpot.
  67. ^ MAC (30 March 2017). "ECC Memory & AMD's Ryzen - A Deep Dive". Hardware Canucks. Retrieved 14 July 2017.
  68. ^ a b "Zen-based APU with HBM to be AMD Carrizo successor".
  69. ^ Shrout, Ryan (30 May 2017). "Computex 2017: AMD Demos Ryzen Mobile SoC with Vega Graphics". PC Perspective. Retrieved 2 June 2017.
  70. ^ "[RFC PATCH v1 00/18] x86: Secure Memory Encryption (AMD)".
  71. ^ "AMD MEMORY ENCRYPTION WHITEPAPER" (PDF).
  72. ^ "LKML - Tom Lendacky (AMD) explains AMD Secure Memory Encryption".
  73. ^ "AMD - Other Developer Guides: Secure Encrypted Virtualization Key Management PDF – 05/19/2016" (PDF).
  74. ^ L, Alex; Walrath, Josh (12 January 2017). "Podcast #432 - Kaby Lake, Vega, CES Review". PC Perspective. Retrieved 13 January 2017.
  75. ^ Mah Ung, Gordon (28 September 2016). "How AMD's powerful Zen chip flouts the SoC stereotype". PC World. Retrieved 13 January 2017.
  76. ^ Justin, Michael; Sexton, Allen (3 March 2017). "AMD's AM4 Ryzen Chipsets". Tom's Hardware. Retrieved 3 March 2017.
  77. ^ Smith, Ryan (12 December 2016). "AMD Announces Radeon Instinct: GPU Accelerators for Deep Learning, Coming in 2017". Anandtech. Retrieved 12 December 2016.
  78. ^ Shrout, Ryan (12 December 2016). "Radeon Instinct Machine Learning GPUs include Vega, Preview Performance". PC Per. Retrieved 12 December 2016.
  79. ^ "AMD Zen FX CPUs, APUs Release Details Surface, Top-Notch Performance In The Cards". Tech Times.
  80. ^ "32-core AMD Opteron to feature quad-die MCM design". KitGuru.
  81. ^ Mark Mantel (7 February 2017). "CPU-Roadmap 2017 - 2018: Künftige AMD- und Intel-CPUs/-APUs in der Übersicht". PC Games Hardware (in Germany). Retrieved 7 February 2017.{{cite web}}: CS1 maint: unrecognized language (link)
  82. ^ Larabel, Michael (13 December 2016). "AMD Reveals More Zen CPU Details, Officially Known As Ryzen, No Linux Details Yet". Phoronix. Retrieved 13 December 2016.
  83. ^ "Power Consumption And Efficiency - AMD FX-8350 Review: Does Piledriver Fix Bulldozer's Flaws?". Tom's Hardware. 2012-10-22. Retrieved 2017-03-12.
  84. ^ "AMD Ryzen 7 1800X: Power Consumption And Temperatures". Tom's Hardware. 2017-03-02. Retrieved 2017-03-12.
  85. ^ "AMD Ryzen 7 1800X and AM4 Platform Review". bit-tech. Retrieved 2017-03-12.
  86. ^ "The AMD Ryzen 7 1800X Review: Now and Zen | Power Consumption and Conclusions". www.pcper.com. Retrieved 2017-03-12.
  87. ^ Chen, Sam (February 13, 2020). "What is XFR? (AMD)". Gear Primer. Retrieved June 11, 2020.
  88. ^ a b c Safford, Matt. "AMD Ryzen 7 1700X Review". PCMAG. Retrieved 14 May 2024.
  89. ^ a b c d Wan, Samuel (5 April 2017). "AMD Ryzen 5 1600 Review Pops Up Ahead of Launch". eTeknix. Retrieved 14 May 2024.
  90. ^ a b Hagedoorn, Hilbert (27 July 2017). "AMD Ryzen 3 1200 and 1300X review". www.guru3d.com. Retrieved 14 May 2024.
  91. ^ "AMD Athlon 200GE Processor with Radeon Vega 3 Graphics". AMD.
  92. ^ "AMD Athlon PRO 200GE APU". AMD.
  93. ^ "AMD Athlon 220GE Processor with Radeon Vega 3 Graphics".
  94. ^ "AMD Athlon 240GE Processor with Radeon Vega 3 Graphics". AMD.
  95. ^ "AMD Athlon 3000G Processor with Radeon Graphics". AMD.
  96. ^ "AMD Athlon 300GE".
  97. ^ "AMD Athlon Silver 3050GE".
  98. ^ "HP Desktop Pro A G2 Specifications". Hewlett-Packard.
  99. ^ "AMD Ryzen 3 2200GE Processor with Radeon Vega 8 Graphics".
  100. ^ "AMD Ryzen 3 PRO 2200GE Processor with Radeon Vega 8 Graphics".
  101. ^ "AMD Ryzen 3 PRO 2200G Processor with Radeon Vega 8 Graphics". www.amd.com.
  102. ^ "Specs". www.amd.com. Retrieved 2019-06-10.
  103. ^ "Specs". www.amd.com. Retrieved 2019-06-10.
  104. ^ "AMD Ryzen 5 2400G". Retrieved 2018-01-19.
  105. ^ "AMD's 2nd-gen Ryzen is coming in April, desktop Ryzen APUs arrive February 12". TechSpot. Retrieved 2019-06-10.
  106. ^ Peter Bright - Jan 8, 2018 9:50 pm UTC (2018-01-08). "AMD's 2018 roadmap: Desktop APUs in February, second-generation Ryzen in April". Ars Technica. Retrieved 2019-06-10.{{cite web}}: CS1 maint: numeric names: authors list (link)
  107. ^ "Specs". www.amd.com. Retrieved 2019-06-10.
  108. ^ Kampman, Jeff (7 March 2017). "AMD's Naples platform prepares to take Zen into the datacenter". Tech Report. Retrieved 7 March 2017.
  109. ^ Cutress, Ian (7 March 2017). "AMD Prepares 32-Core Naples CPUs for 1P and 2P Servers: Coming in Q2". Anandtech. Retrieved 7 March 2017.
  110. ^ Kampman, Jeff (16 May 2017). "AMD's Naples datacenter CPUs will make an Epyc splash". Tech Report. Retrieved 16 May 2017.
  111. ^ "AMD launches broad Epyc server processor line with up to 32 cores per chip". VentureBeat. 2017-06-20. Retrieved 2017-08-08.
  112. ^ a b ""Zeppelin": An SoC for Multichip Architectures". 26 October 2018. Retrieved Sep 27, 2024.
  113. ^ a b c d e f g h i j k l m n "AMD EPYC 7000 Series Processors" (PDF). AMD. January 2019. Retrieved March 25, 2023.
  114. ^ a b c d e f g h i j k l Cutress, Ian (June 20, 2017). "AMD's Future in Servers: New 7000-Series CPUs Launched and EPYC Analysis". AnandTech. Retrieved June 21, 2017.
  115. ^ a b c d Kennedy, Patrick (May 16, 2017). "AMD EPYC New Details on the Emerging Server Platform". ServeTheHome. Retrieved May 16, 2017.
  116. ^ "AMD EPYC 7261 - PS7261BEV8RAF". CPU-World. March 26, 2023.
  117. ^ Kennedy, Patrick (October 31, 2018). "AMD EPYC 7261 8 Core CPU Quietly Launched L3 Cache Monster". ServeTheHome. Retrieved March 28, 2023.
  118. ^ "AMD EPYC 7371 - PS7371BDVGPAF". CPU-World. March 26, 2023.
  119. ^ "New AMD-Powered Supercomputers Unleash Discovery and Accelerate Innovation" (Press release). AMD. November 13, 2018. Retrieved March 28, 2023.
  120. ^ "AMD EPYC 7571 - PS7571BDVIHAF". CPU-World. March 25, 2023.
  121. ^ Larabel, Michael (November 7, 2018). "A Look At The AMD EPYC Performance On The Amazon EC2 Cloud". Phoronix. Retrieved March 28, 2023.