Intel Atom

From Wikipedia, the free encyclopedia

This is an old revision of this page, as edited by 80.229.39.150 (talk) at 13:49, 9 June 2010 (→‎Performance). The present address (URL) is a permanent link to this revision, which may differ significantly from the current revision.

Intel Atom
Intel Atom Z520 compared to a 1 Eurocent coin which has a diameter of 16.25 mm
General information
Launched2008–present
Common manufacturer(s)
  • Intel
Performance
Max. CPU clock rate800 MHz to 2.13 GHz
FSB speeds400 MHz to 667 MHz
Architecture and classification
Technology node45 nm
Instruction setMMX, SSE, SSE2, SSE3, x86, x86-64 (not for the N2xx and Z series)
Physical specifications
Cores
  • 1, 2
Package(s)
Products, models, variants
Core name(s)
  • Silverthorne
  • Diamondville
  • Pineview

Intel Atom is the brand name for a line of ultra-low-voltage x86 and x86-64 CPUs (or microprocessors) from Intel, designed in 45 nm CMOS and used mainly in netbooks, nettops, and Mobile Internet devices (MIDs). On December 21, 2009 Intel announced the next generation of Atom processors, including the N450, with total kit power consumption down 40%.[1]

History

Intel Atom is a direct successor of the Intel A100 and A110 low-power microprocessors (code-named Stealey), which were built on a 90 nm process, had 512 KB L2 cache and run at 600 MHz/800 MHz with 3W TDP (Thermal Design Power). Prior to the Silverthorne announcement, outside sources had speculated that Atom would compete with AMD's Geode system-on-a-chip processors, used by the One Laptop per Child project, and other cost- and power-sensitive applications for x86 processors. However, Intel revealed on October 15, 2007 that it was developing another new mobile processor, codenamed Diamondville, for OLPC-type devices.[2]

"Atom" was the name under which Silverthorne would be sold, while the supporting chipset formerly code-named Menlow was called Centrino Atom.[3] Intel's initial Atom press release only briefly discussed "Diamondville" and implied that it too would be named "Atom",[4] strengthening speculation that Diamondville is simply a lower-cost, higher-yielding version of Silverthorne with slightly higher TDPs at slightly lower clock speeds.[5]

At Spring Intel Developer Forum (IDF) 2008 in Shanghai, Intel officially announced that Silverthorne and Diamondville are based on the same microarchitecture. Silverthorne would be called the Atom Z series and Diamondville would be called the Atom N series. The more expensive lower-power Silverthorne parts will be used in Intel Mobile Internet Devices (MIDs) whereas Diamondville will be used in low-cost desktop and notebooks. Several Mini-ITX motherboard samples have also been revealed.[6] Intel and Lenovo also jointly announced an Atom powered MID called the IdeaPad U8.[7] The IdeaPad U8 weighs 280 g and has a 4.8 in (12 cm) touchscreen providing better portability than a netbook PC and easier Internet viewing than a mobile phone or PDA.

In April 2008, a MID development kit was announced by Sophia Systems[8] and the first board called CoreExpress-ECO was revealed by a German company LiPPERT Embedded Computers, GmbH.[9][10] Intel offers Atom based motherboards.[11][12]

Intel Atom processor family
Logo Code-name Series Core On-Die GPU TDP HT Intel 64 Intel VT-x Release date
Intel Atom logo 2008 MID / Ultra-Mobile PC
Silverthorne Atom Z single (45 nm) No 0.65~2 W No No No April 2008
2~2.4 W Yes Yes
Classmate PC / Netbook / Nettop
Diamondville Atom N2xx single (45 nm) No 2.5 W Yes No No June 2008
Atom 200 4 W Yes
Atom 300 dual (45 nm) 8 W September 2008
Intel Atom logo 2009 Pineview Atom N4xx single (45 nm) Yes 5.5 W January 2010
Atom D510 dual (45 nm) 10/13 W
List of Intel Atom microprocessors

Availability

Atom processors became available to system manufacturers in 2008. Because they are soldered, like northbridges and southbridges, onto a mainboard, Atom processors are not available to home users or system builders as separate processors, although they may be obtained preinstalled on some ITX motherboards. The Diamondville Atom is used in the HP Mini Series, aigo MID Asus N10, Lenovo IdeaPad S10, Acer Aspire One & Packard Bell's "dot" (ZG5), recent ASUS Eee PC systems, AMtek Elego, Dell Inspiron Mini Series, Gigabyte M912, LG X Series, Samsung NC10, Sylvania g Netbook Meso, Toshiba NB series (100, 200, 205), MSI Wind PC netbooks, RedFox Wizbook 1020i, Zenith Z-Book, a range of Aleutia desktops, and the Archos 10.

Performance

The performance of a single core Atom is about half that of a Pentium M of the same clock rate. For example, the Atom N270 found in many netbooks such as the Eee PC can deliver around 3300 MIPS and 2.1 GFLOPS in standard benchmarks,[13] compared to 7400 MIPS and 3.9 GFLOPS for the similarly clocked (1.73 GHz) Pentium M 740.[14]

The Pineview platform has proven to be not much faster than the previous Diamondville platform. This is because the Pineview platform uses the same Bonnell execution core as Diamondville and is still connected to the memory controller via FSB. This is why memory latency and performance in CPU-intensive applications is barely improved.[15]

Instruction set architecture

Atom implements the x86 (IA-32) instruction set; x86-64 is so far only activated for the desktop Diamondville and desktop and mobile Pineview cores. The Atom N2xx and Z series Atom models cannot run x86-64 code.[16]

Architecture

Intel Atom can execute up to two instructions per cycle. Like many other x86 microprocessors, it translates x86-instructions (CISC instructions) into simpler internal operations (sometimes referred to as micro-ops i.e. effectively RISC style instructions) prior to execution. The majority of instructions produce one micro-op when translated, with around 4% of instructions used in typical programs producing multiple micro-ops. The number of instructions that produce more than one micro-op is significantly fewer than the P6 and NetBurst microarchitectures. In the Atom, internal micro-ops can contain both a memory load and a memory store in connection with an ALU operation, thus being more similar to the x86 level and more powerful than the micro-ops used in previous designs.[17] This enables relatively good performance with only two integer ALUs, and without any instruction reordering, speculative execution, or register renaming. Atom therefore represents a partial revival of the principles used in earlier Intel designs such as Intel P5 and the i486, with the sole purpose of enhancing the performance per watt ratio. However, Hyper-Threading is implemented as an easy (i.e. low power) way to employ both pipelines efficiently by avoiding the typical single thread dependencies.[17]

First Generation

Atom Z series

On March 2, 2008, Intel announced a new single-core processor (code-named Silverthorne) to be used in ultra-mobile PCs/Mobile Internet Devices (MIDs) which will supersede Intel A100. The processor is a 47 million transistor, 25 mm2, sub-3 W IA processor which allows ~2500 chips to fit on a single 300 mm diameter wafer, allowing for extremely economical production.

An Atom Z500 processor's dual-thread performance is equivalent to its predecessor Stealey (A100 and A110), but should outperform it on applications that can leverage simultaneous multithreading and SSE3.[18] They run from 0.8 to 2.0 GHz and have between 0.65 and 2.4 W TDP rating respectively that can dip down to 0.01 W[19] when idle. It features a 2-issue simultaneous multithreading, 16 stage in-order pipeline with 32 KB instruction L1 and 24 KB data L1 caches, integer and floating point execution units, x86 front end, a 512 KB L2 cache and data transferred at 533 MHz on the front-side bus. The design is manufactured in 9M 45 nm high-k metal-gate CMOS and housed in a 441-ball µFCBGA package.[20][21]

Atom N2xx series

The Intel Atom N270

On March 2, 2008, Intel announced lower-power variants of the Diamondville CPU previously intended for nettops, to be used in the Classmate PC netbook.[22][23][24][25] Like their predecessors, these are single-core parts with HyperThreading.

The N270 has 2.5 W TDP, running at 1.6 GHz[26] with a 533 MHz FSB. The N280 has a 1.66 GHz clockspeed and a 667 MHz FSB.[27]

Atom 300 series

On September 22, 2008, Intel announced a new dual-core processor (unofficially code-named Dual Diamondville) branded Atom 330 of the Atom 300 series to be used in desktop computers. It runs at a 1.6 GHz clock speed and has an FSB running at 533 MHz. The processor has an 8 W TDP rating. Its dual core comprises two Diamondville dies next to each other on a single package (substrate). Atom 330 supports 64 bit instructions.

During 2009, Nvidia used the Atom 300 and their GeForce 9400M chipset on a mini-ITX form factor motherboard for their Ion platform.

2nd Generation "Pine View"

On December 21, 2009 Intel announced the N450, D510 and D410 with integrated graphics.[1] Total kit power goes from 11.8 W to 7 W with the new processor and chipset, a 40% improvement.[28][29] Intel GMA 3150, a 45 nm shrink of the GMA 3100 with no HD capabilities, is included as the on-die GPU. Netbooks using this new processor were expected to be publicly shown at CES on January 4, 2010, and to be released for sale on January 11, 2010.[30][31] The major new feature includes longer battery life, with 6 cell systems reporting 10 or more hours of battery life.[32][33][34] The current generation of the Atom is codenamed "Pineview" which utilizes the "Lincroft" system-on-a-chip architecture and is used in the "Pine Trail" platform. The current generation platform for the netbook version of the Intel Atom is codenamed "Pine Trail-M", which utilizes an Atom processor codenamed "Pineview-M" and a chipset codenamed "Tiger Point". The graphics and memory controller have moved into the processor, which is paired with the Tiger Point chipset. This creates a more power efficient 2-chip platform rather than the 3-chip one used with previous-generation Atom chipsets.[35]

On 1st March, 2010 Intel officially announced the introduction of the N470 processor,[36][37] running at 1.83 GHz with a 667 MHz FSB and a Max TDP of 6.5 W.[38]

N4xx Series

The new Atom N4xx chips became available on January 11, 2010.[39] It is used in netbook/nettop systems, and features a system-on-chip (SOC) with an integrated single-channel DDR2 memory controller and an integrated graphics core. It features hyper-threading and is still manufactured on a 45 nm[40] process. The new system-on-a-chip design uses half the power of the older "Menlow" platform. This reduced overall power consumption and size makes the platform more desirable for use in smartphones and other mobile internet devices.

LinuxTECH.NET[41] has put together an overview of all announced/released "Pine Trail" platform motherboards.

On December 23, 2009, MSI introduced a new series of netbooks to be released in January 2010, the Wind U130 and U135, which are among the first netbooks to incorporate the new "Pine Trail" platform. Other companies, like ASUS and Dell have since also introduced netbooks based on the Pineview-M chip N4xx.

Dxxx Series

The D series support a 64 bit instruction set and DDR2-800. It is rated for embedded use. The series has an integrated graphics processor built directly into the CPU to help improve performance. The models are targeted at nettops and low end desktops. The D series do not support speedstep.

The Atom D510 processor features dual core running at 1.66 GHz, with 1 MB of L2 cache.[42] The Atom D410 processor features a single core running at 1.66 GHz, with 512 KB of L2 cache.[43] Power requirements are rated at 13 watts[42] for the D510 and 10 watts[43] for the D410.

Power requirements

The relatively power-efficient Atom CPU is often used with a cheaper, more power consuming chipset like the Intel 945G

Although the Atom processor itself is relatively power efficient for an x86 microprocessor, many chipsets commonly used with it dissipate significantly more power. For example, while the N270 CPU commonly used in netbooks has a net maximum TDP of 2.5 W, an Intel Atom platform that uses the 945GSE Express chipset has a specified maximum TDP of 11.8 W, with the processor using a relatively small portion of the total power consumed. Individual figures are 2.5 W for the N270 processor, 6 W for the 945GSE chipset and 3.3 W for the 82801GBM I/O controller.[44][45][46][47] Intel also provides the Intel System Controller Hub US15W chipset with a combined TDP of less than 5 W together with the Atom processor Z5xx (Silverthorne) series, to be used in ultra-mobile PCs/Mobile Internet Devices (MIDs).[48]

Initially, all Atom motherboards on the consumer market featured the Intel 945GC chipset, which uses 22 watts alone. As of early 2009, only a few manufacturers are offering lower power 945GSE-based motherboards to end users, paired with the Atom N270 or N280 CPU, while Sony VAIO P pioneers the use of the low power US15W chipset with Z-series processors.

Future

In the second-half of 2010, Intel will release new versions of Pineview Atom chips that will support DDR3 memory.[49]

In the second half of 2011, Intel will release a new Atom platform for netbook codenamed "Cedar Trail", which will be fabricated on the 32 nm technology. Development of the new chip and platform will begin in late 2010. Intel claims that the chip will boast higher clock speeds and double performance as compared to "Pine Trail", although details are scarce. The code name of the platform is Cedar View and is rumored to have double the graphical power as the GMA 3150 in the current Pineview platform.[50]

Collaboration with TSMC

In March 2009, Intel announced that they will be collaborating with TSMC for the production of the Atom processors.[51]

Competition

Direct competitor from AMD is called Athlon Neo. It has significantly higher TDP (15W) than Atom. With 27 mm × 27 mm in size and 2.5 mm in thickness, the Athlon Neo processors utilize a new package called "ASB1", essentially a BGA package, for smaller footprint to allow smaller designs for notebooks and lowering the cost. The clock of the processors is significantly lower than desktop and other mobile counterparts to reach a low TDP, at 15 W maximum for a single core x86 CPU. The Athlon Neo processors are equipped with 512 KB of L2 cache and HyperTransport 1.0 running at 800 MHz frequency.

Embedded processors based on the ARM version 7 instruction set architecture (such as TI's OMAP 3 series and Freescale's i.MX51 based on the Cortex-A8 processor, or the Qualcomm Snapdragon and Marvell Armada 500/600 based on custom ARMv7 implementations) offer similar performance to the low end Atom chipsets[dubious ] but at roughly one quarter the power consumption, and (like most ARM systems) as a single integrated system on a chip, rather than a two chip solution like the current Atom line. Although the next-generation Atom codenamed "Pineview" should greatly increase its competitiveness in performance/watt, ARM plans to counter the threat with the multi-core capable Cortex-A9 processor as used in Nvidia's Tegra 2, T.I.'s OMAP 4 series, and Qualcomm's next-generation Snapdragon series, among others.

The Nano series from VIA is slightly above the average thermal envelope of the Atom, but offers hardware AES support, random number generators, and out-of-order execution. Performance comparisons of the Intel Atom against the Via Nano indicate that a single core Intel Atom is outperformed by the Via Nano which is in turn outperformed by a dual core Intel Atom 330 in tests where the second core is used.[52][53][54][55][56][57][58]

The Xcore86 (also known as the PMX 1000) is x586 based System on Chip (SoC) that offers a below average thermal envelope compared to the Atom.

Kenton Williston of EE Times said that while Atom will not displace ARM from its current markets, the ability to apply the PC architecture into smaller, cheaper and lower power form factors will open up new markets for Intel.[59]

See also

References

  1. ^ a b Intel Announces Next-Generation Atom Platform from Intel website
  2. ^ "Intel to unveil OLPC chips in Shanghai next April". InfoWorld. 2007-10-15.
  3. ^ PC World - Intel Announces Atom Brand for Silverthorne, Menlow
  4. ^ "Intel Announces Intel Atom Brand for New Family of Low-Power Processors" (Press release). Intel. March 2, 2008.
  5. ^ Diamondville: Silverthorne in Disguise, AnandTech.
  6. ^ "Intel Developer Forum Spring 2008: Day 1 - Hardware Upgrade - Il sito italiano sulla tecnologia". www.hwupgrade.it. 2005-07-30. Retrieved 2010-04-04.
  7. ^ Lenovo exhibits Atom based MID Ideapad U8 at IDF 2008 : Specs, reviews and prices
  8. ^ MID dev kit sports Centrino Atom chipset
  9. ^ Tiny Centrino Atom-based module unveiled
  10. ^ CoreExpress
  11. ^ Intel Desktop Board D945GCLF - Overview
  12. ^ Intel offers $80 "Little Falls" Atom mobo
  13. ^ "SiSoft Sandra : Atom Benchmarked: 4W Of Performance". Tomshardware.com. 2008-07-29. Retrieved 2010-04-04.
  14. ^ Intel Pentium M 740 PCSTATS Review - Benchmarks: Office Productivity, SiSoft Sandra 2005
  15. ^ "Why Pine Trail Isn't Much Faster Than the First Atom - AnandTech :: Your Source for Hardware Analysis and News". AnandTech. Retrieved 2010-04-04.
  16. ^ "Intel® Atom™ Processor Specifications". Intel.com. Retrieved 2010-04-04.
  17. ^ a b "Intel's Atom Architecture: The Journey Begins - AnandTech :: Your Source for Hardware Analysis and News". AnandTech. Retrieved 2010-04-04.
  18. ^ "ISSCC 2008: Details on Intel Silverthorne". 2008-02-04. Retrieved 2008-02-05.
  19. ^ "Intel christens Silverthorne as "Atom"". 2008-03-02. Retrieved 2008-03-02.
  20. ^ "ISSCC 2008 Preview: Silverthorne, Rock, Tukwila and More". 2007-12-05.
  21. ^ "Intel sheds a little more light on Silverthorne, Posted by Tom Krazit". 2008-02-05.
  22. ^ LinuxWorld - Intel expects Atom desktops for $199
  23. ^ Intel plots $100 "NetTop" market with Diamondville - Engadget
  24. ^ Gizmodo, the Gadget Guide
  25. ^ 電腦領域 HKEPC Hardware - 全港 No.1 PC網站 - Dual Core版本Atom處理器Q3上陣 代號「Little Fall 2」 最高TDP僅12W
  26. ^ Intel Corporation. "Intel® Atom™ Processor N270 Product Information". Retrieved 2010-03-01.
  27. ^ Intel Corporation. "Intel® Atom™ Processor N280 Product Information". Retrieved 2010-03-01.
  28. ^ 9.3W + 2.5W = 11.8W
  29. ^ "New Intel Atom N450, D410 And D510 CPUs Are Official". I4u.com. 2009-12-20. Retrieved 2010-04-04.
  30. ^ "Intel Officially Announces "Pineview" Atom Chips, "Pine Trail" Netbook Platform". DailyTech. Retrieved 2010-04-04.
  31. ^ Crothers, Brooke (2009-12-20). "Intel launches redesigned Atom chip for Netbooks | Business Tech - CNET News". News.cnet.com. Retrieved 2010-04-04.
  32. ^ "ASUS Eee PC 1005P/PE with Atom N450 and 12.5-hour battery breaks cover". Engadget. Retrieved 2010-04-04.
  33. ^ Posted by Calv (2009-12-21). "New Intel Atom N450 not much improvement from old one". Pinoywebsurfer.com. Retrieved 2010-04-04.
  34. ^ AnandTech review of the ASUS Eee PC 1005PE
  35. ^ "Intel details next-generation Atom platform, say hello to Pine Trail". Engadget. Retrieved 2010-04-04.
  36. ^ "Intel Atom N470 gets early announcement". SlashGear. 2010-02-27. Retrieved 2010-04-04.
  37. ^ "Intel Unveils Atom N470 Processor [Intel's Worst-Kept Secret Uncovered, New Atom Processor Has 1.83GHz] » TFTS – Technology, Gadgets & Curiosities". Nexus404.com. 2010-02-27. Retrieved 2010-04-04.
  38. ^ "Intel® Atom™ Processor Specifications". Intel.com. Retrieved 2010-04-04.
  39. ^ Posted by Steve 2:01 PM (CST) (2010-01-11). "[H]ard|OCP - Vendors Launching Atom N450 Netbooks Soon". Hardocp.com. Retrieved 2010-04-04.{{cite web}}: CS1 maint: numeric names: authors list (link)
  40. ^ Shilov, Anton (2008-04-01). "Intel Atom Processors Set to Get Embedded Graphics Core, Memory Controller – Rumours". X-bit Labs. Retrieved 2008-07-21.
  41. ^ "Overview of all Pineview Atom based Motherboards". LinuxTECH.NET. Retrieved 2010-04-04.
  42. ^ a b "Intel® Atom™ Processor D510 (1M Cache, 1.66 GHz)". Intel Corporation. Retrieved 2010-05-10.
  43. ^ a b "Intel® Atom™ Processor D410 (512K Cache, 1.66 GHz)". Intel Corporation. Retrieved 2010-05-10.
  44. ^ "Intel® Atom™ Processor with Mobile Intel® 945GSE Express Chipset". Ark.intel.com. Retrieved 2010-04-04.
  45. ^ "Intel® Atom™ Processor N270 (512K Cache, 1.60 GHz, 533 MHz FSB) with SPEC Code(s) SLB73". Ark.intel.com. Retrieved 2010-04-04.
  46. ^ "Mobile Intel® 945GSE Express Chipset". Ark.intel.com. Retrieved 2010-04-04.
  47. ^ "Intel® 82801GBM I/O Controller". Ark.intel.com. Retrieved 2010-04-04.
  48. ^ slstrohm (03-Dec-07). "System Controller Hub US15W for Embedded Computing". Intel. Retrieved 2009-01-06. {{cite web}}: Check date values in: |date= (help)
  49. ^ "Rumor: Future Pineview Atoms to have DDR3 support". The Tech Report. 2009-12-14. Retrieved 2010-04-04.
  50. ^ "Intel Cedar Trail doubles the speed | Gadget News and Reviews". Gadgethobby.com. 2009-12-10. Retrieved 2010-04-04.
  51. ^ TSMC To Build Intel's Atom-Based Chips "TSMC To Build Intel's Atom-Based Chips". Forbes. 2009-03-02. Retrieved 2009-03-03. {{cite web}}: Check |url= value (help)
  52. ^ "Intel Atom vs. VIA Nano Platform Comparo Introduction". TweakTown. 2008-08-11. Retrieved 2010-04-04.
  53. ^ Kyle Bennett. "Introduction & Power - Intel Atom vs.VIA Nano | [H]ard|OCP". Enthusiast.hardocp.com. Retrieved 2010-04-04.
  54. ^ "VIA Nano vs Intel Atom". TrustedReviews. Retrieved 2010-04-04.
  55. ^ "VIA Nano Outperforms Intel Atom in Actual Industry Performance Benchmarking tests » My Digital Life". Mydigitallife.info. 2008-07-31. Retrieved 2010-04-04.
  56. ^ "Intel Atom Initial Benchmarking Data vs. Pentium and Celeron M Processors Before Official Release » My Digital Life". Mydigitallife.info. 2008-03-08. Retrieved 2010-04-04.
  57. ^ "EEE Journal for Netbooks and Mini Laptops: EEE PC vs MSI Wind - Atom vs Celeron CPU Performance Benchmark: Netbooks, EEE PC, MSI Wind, Aspire One and Akoya Resources". Eeejournal.com. 2008-05-11. Retrieved 2010-04-04.
  58. ^ "Intel Atom 230/330/VIA Nano performances contrasted". En.hardspell.com. 2008-09-25. Retrieved 2010-04-04.
  59. ^ Analysis: The real scoop on Atom-ARM rivalry

Press

External links