Jump to content

Intel Core 2: Difference between revisions

From Wikipedia, the free encyclopedia
Content deleted Content added
No edit summary
→‎Merom XE: laptop CPU --> mobile CPU
Line 141: Line 141:


===Merom XE===
===Merom XE===
The Core 2 Extreme Mobile processor, based on the '''Merom XE''' core, is a laptop CPU designed for ultra-high end laptops. It was released in two models, the X7900 and the X7800. These feature an 800&nbsp;MT/s FSB. The X7800, introduced on July 16, 2007,<ref>{{cite news |url=http://www.intel.com/ca/pressroom/2007/0716.htm |title=Intel Takes Popular Laptops to 'Extreme' with First-Ever Extreme Edition Mobile Processor; Adds New Desktop Chip |publisher=Intel News Release |date=July 16, 2007 |accessdate=2007-08-30}}</ref> is clocked at 2.6&nbsp;GHz and costs around $851 for OEMs. The processor features a 44&nbsp;W TDP and requires the new Intel Centrino (Santa Rosa) platform. The X7900, introduced on August 22, 2007, is clocked at 2.8&nbsp;GHz.
The Core 2 Extreme Mobile processor, based on the '''Merom XE''' core, is a mobile CPU designed for ultra-high end laptops. It was released in two models, the X7900 and the X7800. These feature an 800&nbsp;MT/s FSB. The X7800, introduced on July 16, 2007,<ref>{{cite news |url=http://www.intel.com/ca/pressroom/2007/0716.htm |title=Intel Takes Popular Laptops to 'Extreme' with First-Ever Extreme Edition Mobile Processor; Adds New Desktop Chip |publisher=Intel News Release |date=July 16, 2007 |accessdate=2007-08-30}}</ref> is clocked at 2.6&nbsp;GHz and costs around $851 for OEMs. The processor features a 44&nbsp;W TDP and requires the new Intel Centrino (Santa Rosa) platform. The X7900, introduced on August 22, 2007, is clocked at 2.8&nbsp;GHz.


The X7900 processor was used in the top-end [[iMacs]] released in August 7, 2007.
The X7900 processor was used in the top-end [[iMacs]] released in August 7, 2007.

Revision as of 03:18, 16 January 2009

Core 2 Duo
File:Core2dm.JPG
General information
Launched2006
Discontinuedpresent
Common manufacturer
  • Intel
Performance
Max. CPU clock rate1.06 GHz to 3.33 GHz
FSB speeds0533 MT/s to 1600 MT/s
Architecture and classification
MicroarchitectureIntel Core microarchitecture
Instruction setx86, MMX, SSE, SSE2, SSE3, SSSE3, x86-64, SSE4.1 (SSE4.1 is for Penryn, Wolfdale, and Yorkfield-based processors only)
Physical specifications
Cores
  • 1, 2, or 4 (2x2)
Sockets
Products, models, variants
Core name
  • Allendale, Conroe, Merom-2M, Merom, Kentsfield, Wolfdale, Yorkfield, Penryn

The Core 2 brand refers to a range of Intel's consumer 64-bit single- and dual-core and 2x2 MCM (Multi-Chip Module) quad-core CPUs with the x86-64 instruction set, based on the Intel Core microarchitecture, derived from the 32-bit dual-core Yonah laptop processor. (Note: The Yonah's silicon chip or die comprised two interconnected cores, each similar to those branded Pentium M). The 2x2 MCM dual-die quad-core[1] CPU had two separate dual-core dies (CPUs)—next to each other—in one quad-core MCM package. The Core 2 relegated the Pentium brand to a mid-end market, and reunified laptop and desktop CPU lines, which previously had been divided into the Pentium 4, D, and M brands.

The Core microarchitecture returned to lower clock rate and improved processors' usage of both available clock cycles and power compared with preceding NetBurst of the Pentium 4/D-branded CPUs.[2] Core microarchitecture provides more efficient decoding stages, execution units, caches, and buses, reducing the power consumption of Core 2-branded CPUs, while increasing their processing capacity. Intel's CPUs have varied very wildly in power consumption according to clock rate, architecture and semiconductor process, shown in the CPU power dissipation tables.

The Core 2 brand was introduced on July 27, 2006,[3] comprising the Solo (single-core), Duo (dual-core), Quad (quad-core), and Extreme (dual- or quad-core CPUs for enthusiasts) branches, during 2007.[4] Intel Core 2 processors with vPro technology (designed for businesses) include the dual-core and quad-core branches.[5]

Duo, Quad, and Extreme

The Core 2-branded CPUs include: "Conroe" (dual-core for higher- and lower-end desktops), "Merom" (dual-core for laptops), "Kentsfield" (quad-core for desktops), and their variants named "Penryn" (dual-core for laptops), "Wolfdale" (dual-core for desktops, low-end dual-core for desktops) and "Yorkfield" (quad-core for desktops). (Note: For the server and workstation "Woodcrest", "Clovertown", and "Tigerton" CPUs see the Xeon brand[6].)

The Core 2 branded processors featured the Virtualization Technology (except T52x0, T5300, T54x0, T55x0 with stepping "B2", E2xx0, T2300E, E4x00, E7x00 and E8190 models), Execute Disable Bit, and SSE3. Their Core microarchitecture introduced also SSSE3, Trusted Execution Technology, Enhanced SpeedStep, and Active Management Technology (iAMT2). With a Thermal Design Power (TDP) of up to only 65 W, the Core 2 dual-core Conroe consumed only half the power of less capable, but also dual-core Pentium D-branded desktop chips[7] with a TDP of up to 130 W[8] (a high TDP requires additional cooling that can be noisy or expensive).

Typical for CPUs, the Core 2 Duo E4000/E6000, Core 2 Quad Q6600, Core 2 Extreme dual-core X6800, and quad-core QX6700 and QX6800 CPUs were affected by minor bugs.[9]

Intel Core 2 processor family
Logo * Desktop Laptop
Code-named Core Date released Code-named Core Date released
Core 2 Duo brand logo Conroe
Allendale
Wolfdale
dual (65 nm)
dual (65 nm)
dual (45 nm)
Aug 2006
Jan 2007
Jan 2008
Merom
Penryn
dual (65 nm)
dual (45 nm)
Jul 2006
Jan 2008
Core 2 Extreme brand logo Conroe XE
Kentsfield XE
Yorkfield XE
dual (65 nm)
quad (65 nm)
quad (45 nm)
Jul 2006
Nov 2006
Nov 2007
Merom XE
Penryn XE
Penryn XE
dual (65 nm)
dual (45 nm)
quad (45 nm)
Jul 2007
Jan 2008
Aug 2008
Core 2 Quad brand logo Kentsfield
Yorkfield
quad (65 nm)
quad (45 nm)
Jan 2007
Mar 2008
Penryn quad (45 nm) Aug 2008
Core 2 Solo brand logo
Desktop version not available
Merom
Penryn
solo (65 nm)
solo (45 nm)
Sep 2007
May 2008
* Sort by initial date released
List of Intel Core 2 microprocessors

Processor cores

Conroe

The first Intel Core 2 Duo branded processor cores, code-named Conroe (Intel product code 80557), were launched on July 27, 2006, at Fragapalooza, a yearly gaming event in Edmonton, Alberta, Canada. These processors were fabricated on 300 mm wafers using a 65 nm manufacturing process, and intended for desktop computers, as a replacement for the Pentium 4 and Pentium D branded CPUs. Intel has claimed that Conroe provides 40% more performance at 0% less power compared to the Pentium D. All Conroe processors are manufactured with 4 MB L2 cache; however, due to manufacturing defects or possibly for marketing purposes, the E6300 and E6400 versions based on this core have half their cache disabled, leaving them with only 2 MB of usable L2 cache. These Conroe-based E6300 and E6400 CPUs have the B2 stepping.

The lower end E6300 (1.86 GHz) and E6400 (2.13 GHz), both with a 1066 MT/s FSB, were released on July 27, 2006. Traditionally, CPUs of the same family with less cache simply have the unavailable cache disabled, since this allows parts that fail quality control to be sold at a lower rating. When yields improve, they may be replaced with versions that only have the cache amount needed on the die, to bring down manufacturing cost. At launch time, Intel's prices for the Core 2 Duo E6300 and E6400 processors were US$183 and US$224 each in quantities of 1000. Conroe CPUs have improved capabilities over previous models with similar processor clock rates. According to reviews, the larger 4 MB L2 cache vs. the smaller 2 MB L2 cache at the same frequency and FSB can provide a 0–9% performance gain with certain applications and 0–16% performance gain with certain games.[10][11] The higher end Conroe processors are the E6600 (2.4 GHz) and E6700 (2.67 GHz) Core 2 Duo models. The family has a 1066 MT/s front side bus, 4 MB shared L2 cache, and 65 watts TDP. These processors have been tested against AMD's then-current top performing processors (Athlon 64 FX Series), which were, until this latest Intel release, the highest performance X86 CPUs available. Conroe chips also produce less heat than their predecessors — a benefit of the new 65 nm technology and the more efficient microarchitecture. At launch time, Intel's prices for the Core 2 Duo E6600 and E6700 processors were US$316 and US$530, respectively, each in quantities of 1000.

E6320 and E6420 Conroe CPUs at 1.86 and 2.13 GHz respectively were launched on April 22, 2007 featuring a full 4 MB of cache and are considered Conroes.

Intel Core 2 Duo E6600 processor

Intel released four additional Core 2 Duo Processors on July 22, 2007. The release coincided with that of the Intel Bearlake (x3x) chipsets. The new processors are named Core 2 Duo E6540, E6550, E6750, and E6850. Processors with a number ending in "50" have a 1333 MT/s FSB. The processors all have 4 MB of L2 cache. Their clock frequency is similar to that of the already released processors with the same first two digits (E6600, E6700, X6800).[12] An additional model, the E6540, was launched with specifications similar to the E6550 but lacking Intel Trusted Execution Technology and vPro support. These processors are stated to compete with AMD's Phenom processor line and are therefore priced below corresponding processors with a 1066 MT/s FSB.[13]

Intel has stated that the E6300 and the E6400 are Conroe CPUs with the cache disabled. Allendale core CPUs are the E4XX0 series of CPUs.

Conroe XE

The Core 2 Extreme was officially released on July 29, 2006. However some retailers appeared to have released it on July 13, 2006, though at a higher premium. The less powerful E6x00 models of Core 2 Duo were scheduled for simultaneous release with the X6800, which are both available at this time. It is powered by the Conroe XE core and replaces the dual-core Pentium Extreme Edition processors. Core 2 Extreme has a clock rate of 2.93 GHz and a 1066 MT/s FSB, although it was initially expected to be released with a 3.33 GHz and 1333 MT/s. The TDP for this family is 75–80 watts. With SpeedStep enabled, the average temperature of the CPU when idle is essentially that of the ambient atmosphere with its fan running at 1500 RPM.[14]

At launch time, Intel's price for the Core 2 Extreme X6800 was US$999 each in quantities of 1000. Like the desktop Core 2 Duo, it has 4 MB of shared L2 cache available. This means that the only major difference between the regular Core 2 Duo and Core 2 Extreme is the clock rate and unlocked multiplier, usual advantages of the "Extreme Edition." The unlocked upward multiplier is of use to enthusiasts as it allows the user to set the clock rate higher than shipping frequency without modifying the FSB unlike mainstream Core 2 Duo models which are downward unlocked only.

Conroe L

The Conroe-L Celeron is a single-core processor built on the Intel Core microarchitecture and is clocked much lower than the Cedar Mill Celerons, but still outperforms them. It is based on the 65 nm Conroe-L core,[26] and uses a 400-series model number sequence.[27] The FSB was increased from 533 MT/s to 800 MT/s in this generation, and the TDP was decreased from 65 W to 35 W. Traditionally with Celerons, it does not have Intel VT-x instruction support or SpeedStep. All Conroe-L models are single-core processors for the value segment of the market, much like the AMD K8-based Sempron. The product line was launched on June 5, 2007.

On October 21, 2007, Intel presented a new processor for its Intel Essential Series. The full name of the processor is a Celeron 220 and is soldered on the D201GLY2 motherboard. With 1.2 GHz and a 512 KB second level cache it has a TDP of 19 Watt and can be cooled passively. The Celeron 220 is the successor of the Celeron 215 which is based on a Yonah core and used on the D201GLY motherboard. This processor is exclusively used on the mini-ITX boards targeted to the sub-value market segment.[15]

Allendale

There was contention regarding whether or not the previously available low-end Core 2 Duo desktop processors (E6300, at 1.86 GHz and E6400, at 2.13 GHz, both with 2 MB L2 cache) were specimens of the Allendale core. Prior to Q1 2007, all E6300 and E6400 processors released were Conroe (4 MB L2 cache) cores with half their L2 cache disabled. The Allendale core, manufactured with 2 MB L2 cache in total, offers a smaller die size and therefore greater yields.

Quoted from The Tech Report:

You'll find plenty of sources that will tell you the code name for these 2 MB Core 2 Duo processors is "Allendale," but Intel says otherwise. These CPUs are still code-named "Conroe," which makes sense since they're the same physical chips with half of their L2 cache disabled. Intel may well be cooking up a chip code-named Allendale with 2 MB of L2 cache natively, but this is not that chip.[16]

Another difference between the premium E6000 series (Conroe core) and the E4000 series (Allendale core) is the front side bus clock rating. The E4000 series are rated to run on a quad-pumped 200 MHz front side bus ("800 MT/s") while the E6000 series are rated to run on a quad-pumped 266 MHz front side bus ("1066 MT/s"). The E4000 series also lack support for Intel VT-x instructions.

The Core 2 Duo E4300[17] uses an Allendale core, released on January 21, 2007. The Allendale processors use a smaller mask with only 2 MB of cache, thereby increasing the number of chips per wafer. Allendale processors are produced in the LGA775 form factor, on the 65 nm process node. E6300 and E6400 CPUs have been made from both the 4 MB Conroe die and the 2 MB L2 Allendale die. The steppings of the chip differs depending on the die used- the Conroe-based E6300 and E6400 are stepping B2 and the Allendale-based E6300 and E6400 are stepping L2.

Initial list price per processor in quantities of one thousand for the E4300 was US$163. A standard OEM price was US$175, or US$189 for a retail package. The price was cut on April 22, 2007,[18] when the E4400 was released at $133 and the E4300 dropped to $113. Allendale processors with half their L2 cache disabled were released in mid-June 2007 under the Pentium Dual-Core brand name. The working cache memory was reduced by half again when the Allendale core was released under Intel's Celeron brand; the Celeron E1200 has a 512k L2 cache shared between its two cores.

On July 22, 2007, an E4500 Allendale was launched, phasing out the E4300 model.[13] This was accompanied by a price cut for the E4400 model.

Merom

Merom, the first mobile version of the Core 2, was officially released on July 27, 2006 but quietly began shipping to PC manufacturers in mid-July alongside Conroe.[19][20] Merom became Intel's premier line of mobile processors, with mostly the same features of Conroe, but with more emphasis on low power consumption to enhance notebook battery life. Merom-based Core 2 Duo provides a slight performance increase associated with 3D rendering and media encoding tasks [1], yet maintains the same battery life as the Yonah-based Core Duo. Merom is the first Intel mobile processor to feature Intel 64 architecture.

The first version of Merom is "drop-in" compatible with Napa platform for Core Duo, requiring at most a motherboard BIOS update. It has a similar thermal envelope of 34 W and the same 667 MT/s FSB rate.[21] The Merom die features 4 MB L2 cache, half of which is deactivated in the T5xx0 CPUs. A native 2 MB L2 version of the Merom core, called Merom-2M, was rolled out in early 2007. The Merom-2M core uses the steppings L2 and M0 and the ultra-low-voltage versions of the Core 2 Duo use this core.

A second wave of Merom processors featuring an 800 MT/s FSB and using the new Socket P was launched on May 9, 2007.[22] These chips are part of Santa Rosa platform.[23] Low voltage versions were also released on May 9, 2007.

The first Core 2 Solo processors were launched in Q3 2007 and consisted of the U2100 and U2200, which run at 1.06 and 1.2 GHz, respectively. They both feature a 533 MT/s FSB and are part of Intel's ULW family, running at only 5 W. Like the rest of the Core 2 family, they are 64-bit compatible. They were released with compatibility with the Napa platform rather than the newer Santa Rosa platform due to power consumption concerns. [24]

Merom (מרום) is the Hebrew word for a higher plane of existence or a level of heaven, BaMerom (במרום) means "in the heavens". The name was chosen by the Intel team in Haifa, Israel, who designed this processor.

See the Merom section of "List of Intel Core 2 microprocessors" for a list of Intel processors.

Merom XE

The Core 2 Extreme Mobile processor, based on the Merom XE core, is a mobile CPU designed for ultra-high end laptops. It was released in two models, the X7900 and the X7800. These feature an 800 MT/s FSB. The X7800, introduced on July 16, 2007,[25] is clocked at 2.6 GHz and costs around $851 for OEMs. The processor features a 44 W TDP and requires the new Intel Centrino (Santa Rosa) platform. The X7900, introduced on August 22, 2007, is clocked at 2.8 GHz.

The X7900 processor was used in the top-end iMacs released in August 7, 2007.

Kentsfield

The Kentsfield released on November 2, 2006 was the first Intel desktop quad core[26] CPU branded Core 2 (and Xeon for lower-end servers and workstations). The top-of-the-line Kentsfields were Core 2 Extreme models numbered QX6xx0, while the mainstream ones branded Core 2 Quad were numbered Q6xx0. All of them featured two 4 MB L2 caches. The mainstream Core 2 Quad Q6600, clocked at 2.4 GHz, was launched on January 8, 2007 at US$851 (reduced to US$530 on April 7, 2007). July 22, 2007 marked the release of the Q6700, and Extreme QX6850 Kentsfields at US$530 and US$999 respectively along with a further price reduction of the Q6600 to US$266.[27]

Analogous to the Pentium D branded CPUs, the Kentsfields comprise two separate silicon dies (each equivalent to a single Core 2 duo) on one MCM[28]. This results in lower costs but lesser share of the bandwidth from each of the CPUs to the northbridge than if the dies were each to sit in separate sockets as is the case for example with the AMD Quad FX platform[29]. Also, as might be predicted from the two-die MCM configuration, the max power consumption (TDP) of the Kentsfield (QX6800 - 130 watts, [30] QX6700 - 130 W, [31] Q6600 - 95 W [32]) has been found to be double that of its similarly clocked Core 2 Duo counterpart.

The multiple cores of the Kentsfield most benefit applications that can easily be broken into a small number of parallel threads (such as audio and video transcoding, data compression, video editing, 3D rendering and ray-tracing). To take a specific example, multi-threaded games such as Crysis and Gears of War which must perform multiple simultaneous tasks such as AI, audio and physics benefit from the quad-core CPUs[33]. In such cases, the processing performance may increase relative to that of a single-CPU system by a factor approaching the number of CPUs. This should, however, be considered an upper limit as it presupposes the user-level software is well-threaded. To return to the above example, some tests have demonstrated that Crysis fails to take advantage of more than two cores at any given time [34]. On the other hand, the impact of this issue on broader system performance can be significantly reduced on systems which frequently handle numerous unrelated simultaneous tasks such as multi-user environments or desktops which execute background processes while the user is active. There is still, however, some overhead involved in coordinating execution of multiple processes or threads and scheduling them on multiple CPUs which scales with the number of threads/CPUs. Finally, on the hardware level there exists the possibility of bottlenecks arising from the sharing of memory and/or I/O bandwidth between processors.

Kentsfield XE

The first Kentsfield XE, named Core 2 Extreme QX6700 (product code 80562) and clocked at 2.67 GHz, was released on November 2, 2006, at US$999.[35][36][37][38][39] January 4, 2008, marks its discontinuation.[40] It featured the Kentsfield XE core, and complemented the Core 2 Extreme X6800 dual-core processor based on the Conroe XE core. Like their dual core Extreme predecessors, CPUs with the Kentsfield XE core had unlocked multipliers.

The Core 2 Extreme QX6800 clocked at 2.93 GHz was released on April 8, 2007, at US$1,199. It had a 130 W TDP thermal envelope, and was intended for high end OEM-only systems.[41]

The Core 2 Extreme QX6850 clocked at 3.0 GHz was launched on July 22, 2007, at US$999. It featured a faster 1333 MT/s FSB. Simultaneously, the previously available Extreme QX6700 was reduced in price.

Penryn

The successor to the Merom core currently used for the Core 2 Duo T5000/T7000 series mobile processors, code-named Penryn, debuted on the 45 nanometer process. Many details about Penryn appeared at the April 2007 Intel Developer Forum. Its successor is Nehalem.

Important advances[42] include the addition of new instructions including SSE4 (also known as Penryn New Instructions) and new fabrication materials; most significantly a hafnium-based high-k dielectric.

Penryn is paired with the 2007 desktop chipset series, Bearlake,[43] some of whose models include an increase in bus performance (connection to the northbridge, etc.) to 1333 MT/s and support for DDR3 SDRAM. In notebooks and other mobile equipment, Penryn pairs with the mobile chipset series Crestline, which does not support DDR3, although Intel believes[44] future DDR3 support will benefit mobile equipment's power- and heat-constrained environments.

Intel's new 45 nm Penryn-based Core 2 Duo and Core 2 Extreme processors were released on January 6, 2008. The new processors launch exclusively within a 35 W thermal envelope. Penryn has also been released for notebooks with companies such as HP beginning to offer the first model, the T9500, from late January 2008.[45] The T9500 offers a 2.6 GHz clock rate, higher than all but the Extreme Edition of the Merom range, and 6 MB (rather than 4 MB) of Level 2 Cache.

Intel released an Apple-only chip on April 28, 2008 that increased the clock rate to 3.06 GHz as well as increasing the Front Side Bus to 1066 MT/s, and changed the Cache to 6 MB shared L2.

The entry level Penryn is the T8xxx-Series, with only 3 MB Level 2 Cache and beginning with the T8100 at a clock rate of 2.1 GHz.

Wolfdale

Core 2 Duo Wolfdale E7200

Wolfdale is the codename for the E5000 Pentium Dual Core and E7000 and E8000 series of Core 2 Duo desktop processors, which are similar to the Penryn and Yorkfield XE dies and succeed the Conroe dies. Released on January 20, 2008, the chips are manufactured using a 45-nanometer process and feature two processor cores. The E7200 model, operating at 2.53 GHz, has 3 MB of L2 cache and a 1066 MT/s FSB; the other models, operating at 2.66 GHz, 3.0 GHz, 3.16 GHz, and 3.33 GHz, each have 6 MB of shared L2 cache and a 1333 MT/s FSB. The processor includes the SSE4.1 media extensions. The E5200 runs at 2.5 GHz and has 2 MB of L2 cache, replacing the E2000 series of Pentium Dual core chips.

Yorkfield

Yorkfield (codename for the Q9000 series) features a dual-die quad core design with two unified 6 MB L2 caches. Later versions were released with two unified 3 MB L2 caches but it is not known whether they are 6 MB caches with half disabled or native 3 MB design to reduce production cost. They also feature 1333 MT/s FSB and are compatible with the Bearlake chipset.[46] These processors were released in late March 2008 beginning with the Q9300 and Q9450. Yorkfield CPUs were expected to be released in January 2008. However, the release of Yorkfield was delayed to March 15, 2008. Initially this delay was attributed to an error found in the Yorkfield chip,[47] but later reports claimed that the delay was necessary in order to ensure compatibility with the 4-layer print-circuit boards utilized by many mainstream motherboards.[48] At the Intel Developer Forum 2007, a Yorkfield processor was compared with a Kentsfield processor.[49][50]

Yorkfield XE

On November 11, 2007, Intel released the first Yorkfield XE processor, Core 2 Extreme QX9650. It is the first Intel desktop processor to use 45 nm technology and high-k metal gates. Yorkfield features a dual-die quad core design with two unified level-two (L2) caches of 6 MB each. It also features a 1333 MT/s FSB and clock rate of 3 GHz. The processor incorporates SSE4.1 instructions and has total of 820 million transistors on 2x107 mm² dies.

Future processors

Successors

The successor to The Core 2 brand is the Core i7, which is based on the Nehalem microarchitecture. Core i7 was officially launched on 17 November 2008 as a family of three quad-processor desktop models. Nehalem has major changes from the Core microarchitecture. The most notable two are: The FSB is replaced by a QuickPath interface, and the processor has an onboard memory controller.

The 32 nm shrink of Nehalem is called Westmere; provided that Intel stays on target with its roadmap, Sandy Bridge will be released at 32 nm with a newer microarchitecture around 2010.[51] In 2011, Intel will launch its first processor based on a 22 nm process. Based on Intel's "tick/tock" cycle alternating new architectures and die shrinks every two years, it is currently assumed that this will be a shrink of Sandy Bridge.[52]

System requirements

Motherboard compatibility

Conroe, Conroe XE and Allendale all use Socket LGA775; however, not every motherboard is compatible with these processors.

Supporting chipsets are:

See also: List of Intel chipsets

The currently released Yorkfield XE model QX9770 (45 nm with 1600FSB) currently has limited chipset compatibility - with only X38, P35 (With Overclocking) and some high-performance X48 and P45 motherboards being compatible. BIOS updates are gradually being released to provide support for the new Penryn technology, and the new QX9775 is only compatible with D5400XS.

Although a motherboard may have the required chipset to support Conroe, some motherboards based on the above mentioned chipsets do not support Conroe. This is because all Conroe-based processors require a new power delivery feature set specified in Voltage Regulator-Down (VRD) 11.0. This requirement is a result of Conroe's significantly lower power consumption, compared to the Pentium 4/D CPUs it is replacing. A motherboard that has both a supporting chipset and VRD 11 supports Conroe processors, but even then some boards will need an updated BIOS to recognize Conroe's FID (Frequency ID) and VID (Voltage ID).

Synchronous memory modules

Unlike the previous Pentium 4 and Pentium D design, the Core 2 technology sees a greater benefit from memory running synchronously with the Front Side Bus (FSB). This means that for the Conroe CPUs with FSB of 1066 MT/s, the ideal memory performance for DDR2 is PC2-4200 or PC2-8500 (twice the performance of PC2-4200). In a few configurations, using PC2-5300 instead of PC2-4200 can actually decrease performance. Only when going to PC2-6400 is there a significant performance increase. While DDR2 memory models with tighter timing specifications do improve performance, the difference in real world games and applications is often negligible.[53]

Optimally, the memory bandwidth afforded should match the bandwidth of the FSB closely. The AGTL+ PSB used by all NetBurst processors as well as current and medium-term (pre-QuickPath) Core 2 processors provide a 64-bit data path. Current chipsets provide for a couple of either DDR2 or DDR3 channels.

Matched processor and RAM ratings
Processor Model Front Side Bus Matched memory and maximum bandwidth
single channel / dual channel
DDR1 DDR2 DDR3
mobile: T5200, T5300, U2n00, U7n00 533 MT/s PC-2100 (DDR-266)
2.133 GB/s / 4.267 GB/s
PC2-4200 (DDR2-533)
4.264 GB/s / 8.528 GB/s
PC2-8500 (DDR2-1066)
8.500 GB/s / 17.000 GB/s
PC3-8500 (DDR3-1066)
8.530 GB/s / 17.060 GB/s
desktop: E6n00, E6n20, X6n00, E7n00, Q6n00 and QX6n00
mobile: T9400, T9600, P7350, P8400, P8600, P9500, X9100
1066 MT/s
mobile: T5n00, T5n50, T7n00, L7200, L7400 667 MT/s PC-2700 (DDR-333)
2.667 GB/s / 5.334 GB/s
PC2-5300 (DDR2-667)
5.336 GB/s / 10.672 GB/s
PC3-10600 (DDR3-1333)
10.670 GB/s / 21.340 GB/s
desktop: E6n40, E6n50, E8nn0, Q9nn0, QX6n50, QX9650 1333 MT/s
mobile: T5n70, T7n00 (Socket P), L7300, L7500, X7n00, T8n00, T9300, T9500, X9000
desktop: E4n00, Pentium E2nn0, Celeron 4n0
800 MT/s PC-1600 (DDR-200)
1.600 GB/s / 3.200 GB/s
PC-3200 (DDR-400)
3.200 GB/s / 6.400 GB/s
PC2-3200 (DDR2-400)
3.200 GB/s / 6.400 GB/s
PC2-6400 (DDR2-800)
6.400 GB/s / 12.800 GB/s
PC3-6400 (DDR3-800)
6.400 GB/s / 12.800 GB/s
PC3-12800 (DDR3-1600)
12.800 GB/s / 25.600 GB/s
desktop: QX9770, QX9775 1600 MT/s

On jobs requiring large amounts of memory access, the quad-core Core 2 processors can benefit significantly[54] from using a PC2-8500 memory, which runs exactly twice the performance as the FSB; this is not an officially supported configuration, but a number of motherboards offer it.

The Core 2 processor does not require the use of DDR2. While the Intel 975X and P965 chipsets require this memory, some motherboards and chipsets support both the Core 2 and DDR memory. When using DDR memory, performance may be reduced because of the lower available memory bandwidth.

Chip bugs

The Core 2 memory management unit (MMU) in X6800, E6000 and E4000 processors does not operate to previous specifications implemented in previous generations of x86 hardware. This may cause problems, many of them serious security and stability issues, with existing operating system software. Intel's documentation states that their programming manuals will be updated "in the coming months" with information on recommended methods of managing the Translation Lookaside Buffer (TLB) for Core 2 to avoid issues, and admits that, "in rare instances, improper TLB invalidation may result in unpredictable system behavior, such as hangs or incorrect data."[55]

Among the issues noted:

  • non-execute bit is shared across the cores.
  • Floating point instruction non-coherencies.
  • Allowed memory corruptions outside of the range of permitted writing for a process by running common instruction sequences.

Intel errata Ax39, Ax43, Ax65, Ax79, Ax90, Ax99 are said to be particularly serious.[56] 39, 43, 79, which can cause unpredictable behavior or system hang, have been fixed in recent steppings.

Among those who have noted the errata to be particularly serious are OpenBSD's Theo de Raadt[57] and DragonFly BSD's Matthew Dillon.[58] Taking a contrasting view was Linus Torvalds, calling the TLB issue "totally insignificant", adding, "The biggest problem is that Intel should just have documented the TLB behavior better."[59]

Microsoft has issued update KB936357 to address the errata by microcode update,[60] with no performance penalty. BIOS updates are also available to fix the issue.

Pricing

The pricing for various models of Core 2, at the time the processors were released, can be found in the list of Intel Core 2 microprocessors. It should be noted that these prices are what it costs system builders like Apple Inc., Dell and HP to stock Core 2 processors. There are no set MSRPs for Core 2 CPUs in the retail channel — prices at retailers are usually very close to the aforementioned prices, but are dependent on what the supplier is charging to stock these CPUs and on supply and demand.

Nomenclature and abbreviations

With the release of the Core 2 processor, the abbreviation C2 has come into common use, with its variants C2D (the present Core 2 Duo), and C2Q, C2E to refer to the Core 2 Quad and Core 2 Extreme processors respectively. C2QX stands for the Extreme-Editions of the Quad (QX6700, QX6800, QX6850).

See also

References

  1. ^ "Intel Clovertowns step up, reduce power". TG Daily. Retrieved 2007-09-05.
  2. ^ "Penryn Arrives: Core 2 Extreme QX9650 Review". ExtremeTech. Retrieved 2006-10-30.
  3. ^ "Intel Unveils World's Best Processor". Intel. Retrieved 2007-08-14.
  4. ^ "Intel to unify product naming scheme". TG Daily. Retrieved 2007-08-06.
  5. ^ "Intel Centrino 2 with vPro technology and Intel Core2 processor with vPro technology" (PDF). Intel. Retrieved 2008-08-07.
  6. ^ "Intel Unleashes New Server Processors That Deliver World-Class Performance And Power Efficiency". Intel. Retrieved 2006-06-26.
  7. ^ "The 65 nm Pentium D 900's Coming Out Party: Test Setup". Tom's Hardware. Retrieved 2007-06-15.
  8. ^ "The 65 nm Pentium D 900's Coming Out Party: Thermal Design Power Overview". Tom's Hardware. Retrieved 2007-06-15.
  9. ^ "Analysis: How serious are the bugs in Intel's Core 2 Duo?". TG Daily. Retrieved 2006-07-03.
  10. ^ "Core 2 Duo: 2 or 4 MB cache? (French)". Matbe. Retrieved 2006-06-29.
  11. ^ "Intel's Core 2 Duo processors". Bit-Tech. Retrieved 2006-07-14.
  12. ^ ""Conroe" Refresh Details Unveiled". DailyTech. Retrieved 2006-10-17.
  13. ^ a b "Intel Processor Price List" (PDF). Intel. Retrieved 2007-07-24. {{cite news}}: Unknown parameter |name= ignored (help)
  14. ^ Schmid, Patrick. "Game". Tom's Guide Publishing. Retrieved 2006-07-17. {{cite web}}: Unknown parameter |coauthors= ignored (|author= suggested) (help)
  15. ^ "NEW CELERON 220". xtreview. 2006-10-15. Retrieved 2007-10-22.
  16. ^ "Cool and Cheap Screamers from Intel and AMD". The Tech Report. 2006-08-08. Retrieved 2006-11-22.
  17. ^ Core 2 Duo E4300
  18. ^ Allendale price cuts
  19. ^ "Intel's Core 2 Duo chips arrive early". MacNN. Retrieved 2006-07-21.
  20. ^ "Intel second quarter 2006 earnings release; see page 3" (PDF). Intel. 2006-07-19. Retrieved 2006-07-21.
  21. ^ "Spring IDF 2006: Merom and Ultra Mobile PCs". AnandTech. Retrieved 2006-07-17. {{cite web}}: |first= missing |last= (help); Missing pipe in: |first= (help)
  22. ^ Olsen, Sven (2007-03-07). "Intel Forecasts "Santa Rosa" for May 2007". Computer Shopper. Retrieved 2007-03-07.
  23. ^ Kubicki, Kristopher. "Intel 2006 Mobile CPU Roadmap Update". DailyTech. Retrieved 2006-07-17.
  24. ^ "Intel Core 2 Solo ULV Processors Coming in Q3 2007". laptoping.com. 2006-12-21. Retrieved 2007-11-04.
  25. ^ "Intel Takes Popular Laptops to 'Extreme' with First-Ever Extreme Edition Mobile Processor; Adds New Desktop Chip". Intel News Release. July 16, 2007. Retrieved 2007-08-30.
  26. ^ "Intel Clovertowns step up, reduce power". TG Daily. Retrieved 2007-09-05.
  27. ^ "Intel Q3's Prices Revealed: 70% off to Intel C2Q Q6600". HKEPC Hardware. Retrieved 2007-03-16.
  28. ^ "Intel Kentsfield Preview". X-bit labs. Retrieved 2006-09-29.
  29. ^ "AMD's Quad FX platform: AMD decides to socket to 'em". The Tech Report. Retrieved 2007-06-11.
  30. ^ "Overindulge Yourself with QX6800: Not Your Plain Vanilla System!". Tom's Hardware. Retrieved 2007-06-11.
  31. ^ "Intel quad-core QX6700 reaches system builders". TG Daily. Retrieved 2007-06-11.
  32. ^ "Intel pushes Q6600 quad-core CPU into 95 watt systems". TG Daily. Retrieved 2007-06-11.
  33. ^ "Crysis - Confirmed Runs Best on Quad Core and 64 Bit OS". Tom's Hardware. Retrieved 2007-08-28.
  34. ^ "No Quad Core Scaling in Crysis?". Extreme Tech. Retrieved 2008-03-09.
  35. ^ "Intel's Core 2 Extreme QX6700: The Multi-core Era Begins". AnandTech. Retrieved 2006-11-11.
  36. ^ "Intel Core 2 Extreme QX6700". Bit-Tech. Retrieved 2006-11-11.
  37. ^ ""Kentsfield" to Debut at 2.66 GHz". DailyTech. Retrieved 2006-09-29.
  38. ^ "The Quad Squad". CNET. Retrieved 2006-11-12.
  39. ^ "Intel "Kentsfield" Named Core 2 Quad". DailyTech. Retrieved 2006-09-29.
  40. ^ "Intel already phasing out first quad-core CPU". TG Daily. Retrieved 2007-09-07.
  41. ^ "Overindulge Yourself with QX6800: Not Your Plain Vanilla System!". Tom's Hardware. Retrieved 2007-06-11.
  42. ^ "Report on Penryn Series Improvements" (PDF). Technology@Intel Magazine. 2006. Retrieved 2007-08-28. {{cite web}}: Unknown parameter |month= ignored (help)
  43. ^ "Intel to ship 'Bearlake' chipsets as 3x series?". The Register. Retrieved 2007-03-03.
  44. ^ "DDR3 advances make it a "must have" for Mobile Memory" (PDF). Intel Developer Forum. 2007. Retrieved 2007-08-28.
  45. ^ HP Slips Intel's Penryn Processor into Consumer Laptops
  46. ^ "Second-Generation Quad-Core Chip from Intel to Come in Q3 2007". X-bit labs. Retrieved 2006-10-01.
  47. ^ The Yorkfield delayed - BeHardware
  48. ^ "Mainboards Blamed for Intel's New Quad-Core Microprocessors' Delay". X-bit labs. Retrieved 2008-09-01.
  49. ^ "IDF kickoff: Going after AMD, and beyond". TG Daily. Retrieved 2007-04-18.
  50. ^ "Intel won't launch 45 nm desktop CPUs until 2008?". The Register. Retrieved 2007-03-03.
  51. ^ Kubicki, Kristopher. "Intel Life After "Conroe"". DailyTech. Retrieved 2007-03-03.
  52. ^ Kubicki, Kristopher. "Life With "Penryn"". DailyTech. Retrieved 2007-03-03.
  53. ^ "Intel Core 2: Is high speed memory worth its price?". Madshrimps. Retrieved 2006-08-01.
  54. ^ "Benchmarks of four Prime95 processes on a quad-core". Mersenne Forum. Retrieved 2007-05-22.
  55. ^ "Dual-Core Intel Xeon Processor 7200 Series and Quad-Core Intel Xeon Processor 7300 Series" (PDF). p. 46.
  56. ^ "Intel Core 2 Duo Processor for Intel Centrino Duo Processor Technology Specification Update" (PDF). pp. 18–21.
  57. ^ marc.info
  58. ^ undeadly.org
  59. ^ realworldtech.com
  60. ^ support.microsoft.com/kb/936357

News reports on the Core 2 product launch

Reviews